这段代码set_property CFGBVS VCCO里的CFGBVS 是什么
时间: 2023-06-16 18:04:43 浏览: 237
CFGBVS 是一种 FPGA 架构中的术语,代表 Configuration Bank Voltage Select。它是一种属性,用于设置 FPGA 片上配置单元的电压。VCCO 是另一个属性,代表 Configuration Bank Voltage,用于设置配置单元的电源电压。在 FPGA 中,配置单元用于存储逻辑设计的信息,在配置期间从外部存储器加载。CFGBVS 属性通常用于指定配置单元与 FPGA 芯片的其他电路之间的电压匹配。
相关问题
set_property CFGBVS VCCO [current_design] set_property CONFIG_VOLTAGE 3.3 [current_design]
这是使用 Vivado 工具设置 FPGA 设计的电压和电源配置。第一行设置 CFGBVS 为 VCCO,这是配置存储器的电压和 FPGA 端口的电压。第二行设置 CONFIG_VOLTAGE 为 3.3V,这是配置存储器和其他资源的电压。这些设置需要根据具体的 FPGA 设计和所用的器件手册进行调整。
set_property LOC
"set_property LOC"是一种用于约束FPGA设计中组件位置的命令。通过这个命令,可以将特定的组件定位到指定的位置。
在使用"set_property LOC"命令时,需要指定组件的路径和要定位到的位置。例如,使用以下语法将组件gtxe_2例化路径定位到GTXE2_CHANNEL_X* Y *位置:
set_property LOC "GTXE2_CHANNEL_X* Y *" [get_cells "gtxe_2例化路径"]
此外,还可以使用"set_property PACKAGE_PIN"命令来约束管脚的位置,以及使用"set_property IOSTANDARD"命令来约束管脚的电平。例如,使用以下语法将sys_clk管脚的电平约束为LVCMOS33,并将其位置约束为U18:
set_property IOSTANDARD LVCMOS33 [get_ports sys_clk]
set_property PACKAGE_PIN U18 [get_ports sys_clk]
对于差分信号约束,可以使用与普通约束相同的语法。只需要在命令中同时约束差分信号的P管脚即可,系统会自动匹配N管脚的约束。例如,使用以下语法将TMDS_clk_p差分信号的位置约束为N18,并将其电平约束为TMDS_33:
set_property PACKAGE_PIN N18 [get_ports TMDS_clk_p]
set_property IOSTANDARD TMDS_33 [get_ports TMDS_clk_p]
请注意,差分信号的电平约束需要根据VCCO Bank电压进行相应的设置。<span class="em">1</span><span class="em">2</span><span class="em">3</span>
#### 引用[.reference_title]
- *1* *2* *3* [Xilinx FPGA管脚XDC约束之:物理约束](https://blog.csdn.net/gslscyx/article/details/103016237)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 100%"]
[ .reference_list ]
阅读全文