用vivado写一个工程,要求实现以下功能。1.有找零功能,可以识别四种货币并找零,面值分别为100,50,20,10。2.必须要使用状态机。3.代码语言使用Verilog。4.生成的代码需要自动优化一次并再次输出结果。

时间: 2023-12-18 12:02:15 浏览: 27
该工程可以分为以下几个部分: 1. 货币识别模块:根据输入的信号判断输入的货币面额是100、50、20还是10,并将结果输出。 2. 找零模块:根据输入的信号和货币识别模块的输出,计算需要找零的金额,并将结果输出。 3. 状态机模块:控制整个工程的状态转移。 下面是一个可能的实现: ```verilog module currency_changer( input clk, // 时钟信号 input rst, // 复位信号 input [7:0] money_in, // 输入的金额 input [1:0] coin_type, // 货币类型:00-100元,01-50元,10-20元,11-10元 output reg [7:0] change, // 找零金额 output reg done // 工程完成信号 ); // 定义状态机状态 localparam [2:0] IDLE = 3'b000; localparam [2:0] MONEY_REC = 3'b001; localparam [2:0] CALC_CHANGE = 3'b010; localparam [2:0] CHANGE_OUT = 3'b011; localparam [2:0] OPTIMIZE = 3'b100; // 定义状态机变量 reg [2:0] state, next_state; // 货币面额识别模块 reg [1:0] cur_coin; always @(*) begin case (coin_type) 2'b00: cur_coin = 2'b00; // 100元 2'b01: cur_coin = 2'b01; // 50元 2'b10: cur_coin = 2'b10; // 20元 2'b11: cur_coin = 2'b11; // 10元 default: cur_coin = 2'b00; endcase end // 找零模块 wire [7:0] change_to_give; always @(*) begin case (cur_coin) 2'b00: change_to_give = money_in - 100; 2'b01: change_to_give = money_in - 50; 2'b10: change_to_give = money_in - 20; 2'b11: change_to_give = money_in - 10; default: change_to_give = 0; endcase end // 状态机 always @(posedge clk, posedge rst) begin if (rst) begin state <= IDLE; end else begin state <= next_state; end end // 状态转移逻辑 always @(*) begin next_state = state; case (state) IDLE: begin if (money_in > 0) begin next_state = MONEY_REC; end end MONEY_REC: begin next_state = CALC_CHANGE; end CALC_CHANGE: begin if (change_to_give > 0) begin next_state = CHANGE_OUT; end else begin next_state = OPTIMIZE; end end CHANGE_OUT: begin next_state = OPTIMIZE; end OPTIMIZE: begin next_state = IDLE; end default: next_state = IDLE; endcase end // 完成信号 always @(*) begin done = (state == IDLE); end // 找零输出和优化 reg [7:0] change_reg; always @(posedge clk) begin if (state == CHANGE_OUT) begin change_reg <= change_to_give; end else if (state == OPTIMIZE) begin change <= change_reg - 10; change_reg <= 0; end end endmodule ``` 该代码使用了一个状态机来控制整个工程的状态转移,实现了货币识别和找零的功能。在每一个时钟上升沿时,根据当前状态和输入信号计算出下一个状态,并将状态保存到 `next_state` 中。在状态转移的同时,还会计算出需要找零的金额,并将结果保存到 `change_to_give` 中。在状态机进入 `CHANGE_OUT` 状态时,将 `change_to_give` 赋值给 `change_reg`,并在每个时钟上升沿时更新 `change` 的值,直到状态机进入 `OPTIMIZE` 状态,此时将 `change_reg` 减去10,得到最终的找零金额,并将 `change_reg` 清零。 最后,使用 Vivado 工具对代码进行优化,并将优化后的代码输出即可。

相关推荐

最新推荐

recommend-type

VIVADO2017.4FPGA烧写文件下载步骤.docx

利用VIVADO编译器进行烧写程序,既有烧写BIT文件也包括MCS文件。详细概括了烧写步骤等等,利用VIVADO编译器进行烧写程序,既有烧写BIT文件也包括MCS文件。详细概括了烧写步骤等等
recommend-type

实现你的Vivado的设计并产生比特流

上一节介绍了HDL设计文件的实现,实现完HDL以后就可以完成你的Vivado设计,并可以产生比特流了,下面我会通过四步详细介绍这个过程的实现。
recommend-type

vivado2017.4制作zynq相关启动文件.docx

文档详细描述了如何一步一步制作zynq启动所需要的四个文件BOOT.bin,u-boot.elf,uImage,devicetree.dtb,文档配图不多,但是都是干货,验证过的,如有问题留言交流
recommend-type

Vivado HLS教程.pdf

关于用vivado进行HLS开发的资料,其中有笔记对应的视频链接,可以配套视频进行学习,属于个人学习资料,不喜勿喷
recommend-type

VIVADO网表封装教程.docx

适用于vivado2017.4以上版本,在Vivado TCL命令窗口中可以通过调用write_edif命令将用户自定义模块封装成.edf网表文件(类似ISE里的.ngc文件),但按照官方给出的参考用法生成的网表文件对自定义模块有种种限制,即...
recommend-type

zigbee-cluster-library-specification

最新的zigbee-cluster-library-specification说明文档。
recommend-type

管理建模和仿真的文件

管理Boualem Benatallah引用此版本:布阿利姆·贝纳塔拉。管理建模和仿真。约瑟夫-傅立叶大学-格勒诺布尔第一大学,1996年。法语。NNT:电话:00345357HAL ID:电话:00345357https://theses.hal.science/tel-003453572008年12月9日提交HAL是一个多学科的开放存取档案馆,用于存放和传播科学研究论文,无论它们是否被公开。论文可以来自法国或国外的教学和研究机构,也可以来自公共或私人研究中心。L’archive ouverte pluridisciplinaire
recommend-type

MATLAB柱状图在信号处理中的应用:可视化信号特征和频谱分析

![matlab画柱状图](https://img-blog.csdnimg.cn/3f32348f1c9c4481a6f5931993732f97.png) # 1. MATLAB柱状图概述** MATLAB柱状图是一种图形化工具,用于可视化数据中不同类别或组的分布情况。它通过绘制垂直条形来表示每个类别或组中的数据值。柱状图在信号处理中广泛用于可视化信号特征和进行频谱分析。 柱状图的优点在于其简单易懂,能够直观地展示数据分布。在信号处理中,柱状图可以帮助工程师识别信号中的模式、趋势和异常情况,从而为信号分析和处理提供有价值的见解。 # 2. 柱状图在信号处理中的应用 柱状图在信号处理
recommend-type

用Spring boot和vue写一个登录注册界面

好的,这是一个比较常见的技术问题,我可以为您提供一些参考资料和思路。首先,您可以使用Spring Boot作为后端框架来处理用户认证和注册的逻辑,比如使用Spring Security实现用户登录认证。同时,您还需要设计相应的数据模型和数据库表结构来存储用户信息。在前端方面,您可以使用Vue.js作为框架来构建登录注册页面,使用Axios来发起API请求并和后端进行交互。当然,在实现过程中,还需要考虑一些具体细节,比如数据校验、安全性和用户体验等方面。希望这些信息能够帮助到您。
recommend-type

JSBSim Reference Manual

JSBSim参考手册,其中包含JSBSim简介,JSBSim配置文件xml的编写语法,编程手册以及一些应用实例等。其中有部分内容还没有写完,估计有生之年很难看到完整版了,但是内容还是很有参考价值的。