利用51单片机编写数码管显示'HELLO',再显示21061

时间: 2023-06-13 08:03:14 浏览: 78
以下是51单片机的代码: ```c #include <reg52.h> // 51单片机头文件 unsigned char code table[] = { // 数码管字形表 0x3f, 0x06, 0x5b, 0x4f, 0x66, 0x6d, 0x7d, 0x07, 0x7f, 0x6f }; void delay(unsigned int i) { // 延时函数 unsigned int j; while (i--) for (j = 0; j < 125; j++); } void main() { unsigned char i; unsigned char str1[] = "HELLO"; // 显示的字符 unsigned char str2[] = "21061"; while (1) { // 循环显示 for (i = 0; i < 5; i++) { // 显示HELLO P0 = table[str1[i] - 'A']; P2 = 0x01 << i; delay(100); } for (i = 0; i < 5; i++) { // 显示21061 P0 = table[str2[i] - '0']; P2 = 0x10 >> i; delay(100); } } } ``` 在以上代码中,我们定义了一个数码管字形表 `table[]`,包含了从0到9和A到F的字形。然后我们编写了一个延时函数 `delay()`,用于控制数码管的显示时间。接下来,在主函数中,我们定义了两个字符串 `str1` 和 `str2`,分别用于显示HELLO和21061。在循环中,我们首先显示HELLO,通过将 `P0` 端口连接到数码管的段选信号, `P2` 端口连接到数码管的位选信号,来控制数码管的显示。然后延时一段时间后,再显示21061,同理控制数码管的显示。循环不断重复,实现了数码管的循环显示。

相关推荐

最新推荐

recommend-type

单片机控制74HC595动态扫描数码管显示

74HC595是具有8位移位寄存器和一个存储器,三态输出功能。移位寄存器和存储器是分别的时钟。数据在SCK的上升沿输入,在RCK的上升沿进入的存储寄存器中去。如果两个时钟连在一起,则移位寄存器总是比存储寄存器早一个...
recommend-type

51单片机并行口驱动LED数码管显示电路及程序

绍利用51单片机的一个并行口实现多个LED数码管显示的方法,给出了利用此方法设计的多路LED显示系统的硬件电路结构原理图和软件程序流程,同时给出了采用51汇编语言编写程序。
recommend-type

基于AT89C51单片机的LED汉字显示屏设计方案

研究了基于AT89C51单片机16×16 LED汉字滚动显示屏的设计与运用Proteus软件的仿真实现。主要介绍了LED汉字显示屏的硬件电路、汇编程序设计与调试、Proteus软件仿真等方面的内容,本显示屏的设计具有体积小、硬件少、...
recommend-type

基于FPGA的数字时钟数码管显示

这是两年前开始学习FPGA的时候做的实验,已经好久没有接触FPGA了,板卡也积灰不少了,是时候安排时间重新拾起曾经美好的回忆了。下面是曾经的实验笔记。
recommend-type

基于51单片机DS1302四位数码管可调时钟

基于51单片机DS1302四位数码管可调1时钟设计,含有原理图及配套程序。
recommend-type

zigbee-cluster-library-specification

最新的zigbee-cluster-library-specification说明文档。
recommend-type

管理建模和仿真的文件

管理Boualem Benatallah引用此版本:布阿利姆·贝纳塔拉。管理建模和仿真。约瑟夫-傅立叶大学-格勒诺布尔第一大学,1996年。法语。NNT:电话:00345357HAL ID:电话:00345357https://theses.hal.science/tel-003453572008年12月9日提交HAL是一个多学科的开放存取档案馆,用于存放和传播科学研究论文,无论它们是否被公开。论文可以来自法国或国外的教学和研究机构,也可以来自公共或私人研究中心。L’archive ouverte pluridisciplinaire
recommend-type

实现实时数据湖架构:Kafka与Hive集成

![实现实时数据湖架构:Kafka与Hive集成](https://img-blog.csdnimg.cn/img_convert/10eb2e6972b3b6086286fc64c0b3ee41.jpeg) # 1. 实时数据湖架构概述** 实时数据湖是一种现代数据管理架构,它允许企业以低延迟的方式收集、存储和处理大量数据。与传统数据仓库不同,实时数据湖不依赖于预先定义的模式,而是采用灵活的架构,可以处理各种数据类型和格式。这种架构为企业提供了以下优势: - **实时洞察:**实时数据湖允许企业访问最新的数据,从而做出更明智的决策。 - **数据民主化:**实时数据湖使各种利益相关者都可
recommend-type

用 Python 画一个可以动的爱心

好的,我可以帮助您使用Python来绘制一个动态的爱心。您可以使用turtle库来实现。以下是实现代码: ```python import turtle import math # 设置画布和画笔 canvas = turtle.Screen() canvas.bgcolor("black") pencil = turtle.Turtle() pencil.speed(0) pencil.color("red", "pink") pencil.pensize(3) # 定义爱心函数 def draw_love(heart_size, x_offset=0, y_offset=0):
recommend-type

JSBSim Reference Manual

JSBSim参考手册,其中包含JSBSim简介,JSBSim配置文件xml的编写语法,编程手册以及一些应用实例等。其中有部分内容还没有写完,估计有生之年很难看到完整版了,但是内容还是很有参考价值的。