uvm的env或者VIP内的信号,怎么dump 到fsdb中看啊
时间: 2023-05-31 07:04:42 浏览: 202
基于uvm平台下的apb vip
5星 · 资源好评率100%
要将UVM的env或者VIP内的信号dump到fsdb中,可以使用以下步骤:
1. 在UVM Testbench中添加fsdb的配置,例如:
```verilog
module top;
import uvm_pkg::*;
`include "uvm_macros.svh"
// ...
initial begin
// ...
// Add fsdb configuration
uvm_config_db#(string)::set(null, "", "uvm_test_top.dump_filename", "tb.fsdb");
uvm_config_db#(string)::set(null, "", "uvm_test_top.dump_on", {"uvm_test_done"});
uvm_config_db#(string)::set(null, "", "uvm_test_top.dump_depth", "1000");
end
// ...
endmodule
```
2. 在UVM Testbench中添加fsdb的dump功能,例如:
```verilog
module top;
import uvm_pkg::*;
`include "uvm_macros.svh"
// ...
class my_env extends uvm_env;
// ...
task run_phase(uvm_phase phase);
// Add fsdb dump
$fsdbDump("", "", $time, 0);
// ...
#100;
// Add fsdb dump
$fsdbDump("", "", $time, 0);
// ...
#100;
// Add fsdb dump
$fsdbDump("", "", $time, 0);
// ...
#100;
endtask
endclass
// ...
endmodule
```
3. 运行UVM Testbench,等到dump触发时,会将信号的值dump到tb.fsdb文件中。
4. 使用Verdi等仿真工具打开tb.fsdb文件,可以查看信号的值变化。
注意:不是所有的仿真工具都支持fsdb格式,需要使用支持fsdb格式的仿真工具。
阅读全文