module shizhong2( input wire clk , input wire rst_n , output wire clk_in,output reg [6:0] cnt_r ); parameter cnt_1us = 7'd100; always @(posedge clk or negedge rst_n) begin if (!rst_n) begin cnt_r <= 1'b0; end else if (cnt_r <= cnt_1us - 1'b1) begin cnt_r <= cnt_r + 1'b1; end else begin cnt_r <= 1'b0; end end assign clk_in = cnt_r; endmodule
时间: 2024-03-14 22:49:23 浏览: 58
shuma.zip_FPGA VHDL_feetf2s_shizhong_shumaguan
这是一个 Verilog HDL 的模块,名为 shizhong2,它包含了一个时钟计数器和一个输出时钟信号的模块。模块的输入包括时钟信号 clk 和复位信号 rst_n,输出包括时钟信号 clk_in 和计数器 cnt_r。参数 cnt_1us 的值为 100,即计数器的最大值。当复位信号 rst_n 为低电平时,计数器 cnt_r 被清零。当时钟信号 clk 的上升沿到来时,计数器 cnt_r 加 1,当计数器 cnt_r 达到最大值时,时钟信号 clk_in 被置高电平,计数器 cnt_r 被清零。
阅读全文