1.用System Verilog书写7位数据位,1位偶校验,波特率为115200bps的uart串行发送端 2. 用SV书写对应的行为模型(接收端),并输出激励中的字节值,奇偶性错误的,输出校验错误 3.编写testcase

时间: 2024-05-05 21:16:44 浏览: 15
1. 以下是用System Verilog书写的7位数据位,1位偶校验,波特率为115200bps的uart串行发送端: ```systemverilog module uart_tx ( input clk, input reset, input [6:0] data_in, output reg tx_out ); // 波特率计算 localparam BAUD_RATE = 115200; localparam BIT_TIME = $floor(1000000000/BAUD_RATE); // 单位:ns // 发送状态机 typedef enum logic [2:0] { IDLE, START_BIT, DATA_BITS, PARITY_BIT, STOP_BIT } TxState; reg [2:0] state = IDLE; reg [6:0] data = 7'b0000000; reg parity = 1'b0; reg [3:0] bit_cnt = 4'd0; reg [31:0] bit_timer = 32'd0; // 计时器 always @(posedge clk) begin if (reset) begin bit_timer <= 0; end else begin bit_timer <= bit_timer + 1; end end // 状态机 always @(posedge clk) begin if (reset) begin state <= IDLE; data <= 7'b0000000; parity <= 1'b0; bit_cnt <= 4'd0; tx_out <= 1'b1; end else begin case (state) IDLE: begin if (!tx_out) begin state <= START_BIT; bit_cnt <= 4'd0; bit_timer <= 0; end end START_BIT: begin if (bit_timer >= BIT_TIME) begin tx_out <= 1'b0; state <= DATA_BITS; bit_cnt <= 4'd1; bit_timer <= 0; end end DATA_BITS: begin if (bit_timer >= BIT_TIME) begin data[bit_cnt-1] <= data_in[bit_cnt-1]; parity <= parity ^ data_in[bit_cnt-1]; bit_cnt <= bit_cnt + 1; if (bit_cnt == 8) begin state <= PARITY_BIT; bit_cnt <= 4'd0; end else begin bit_timer <= 0; end end end PARITY_BIT: begin if (bit_timer >= BIT_TIME) begin tx_out <= parity; state <= STOP_BIT; bit_timer <= 0; end end STOP_BIT: begin if (bit_timer >= BIT_TIME) begin tx_out <= 1'b1; state <= IDLE; bit_timer <= 0; end end default: state <= IDLE; endcase end end endmodule ``` 2. 以下是用SV书写的对应的行为模型(接收端),并输出激励中的字节值,奇偶性错误的,输出校验错误: ```systemverilog module uart_rx ( input clk, input reset, input rx_in, output [6:0] data_out, output reg valid, output reg parity_error, output reg frame_error ); // 波特率计算 localparam BAUD_RATE = 115200; localparam BIT_TIME = $floor(1000000000/BAUD_RATE); // 单位:ns // 接收状态机 typedef enum logic [2:0] { IDLE, START_BIT, DATA_BITS, PARITY_BIT, STOP_BIT } RxState; reg [2:0] state = IDLE; reg [6:0] data = 7'b0000000; reg parity = 1'b0; reg [3:0] bit_cnt = 4'd0; reg [31:0] bit_timer = 32'd0; // 计时器 always @(posedge clk) begin if (reset) begin bit_timer <= 0; end else begin bit_timer <= bit_timer + 1; end end // 状态机 always @(posedge clk) begin if (reset) begin state <= IDLE; data <= 7'b0000000; parity <= 1'b0; bit_cnt <= 4'd0; valid <= 1'b0; parity_error <= 1'b0; frame_error <= 1'b0; end else begin case (state) IDLE: begin if (!rx_in) begin state <= START_BIT; bit_cnt <= 4'd0; bit_timer <= 0; end end START_BIT: begin if (bit_timer >= BIT_TIME) begin state <= DATA_BITS; bit_cnt <= 4'd1; bit_timer <= 0; end end DATA_BITS: begin if (bit_timer >= BIT_TIME) begin data[bit_cnt-1] <= rx_in; parity <= parity ^ rx_in; bit_cnt <= bit_cnt + 1; if (bit_cnt == 8) begin state <= PARITY_BIT; bit_cnt <= 4'd0; end else begin bit_timer <= 0; end end end PARITY_BIT: begin if (bit_timer >= BIT_TIME) begin if (rx_in != parity) begin parity_error <= 1'b1; end state <= STOP_BIT; bit_timer <= 0; end end STOP_BIT: begin if (bit_timer >= BIT_TIME) begin if (rx_in) begin frame_error <= 1'b1; end else begin data_out <= data; valid <= 1'b1; end state <= IDLE; bit_timer <= 0; end end default: state <= IDLE; endcase end end endmodule ``` 3. 以下是编写的testcase: ```systemverilog module testbench; // 参数 localparam BAUD_RATE = 115200; localparam BIT_TIME = $floor(1000000000/BAUD_RATE); // 单位:ns // 实例化 uart_tx tx ( .clk(clk), .reset(reset), .data_in(data_in), .tx_out(tx_out) ); uart_rx rx ( .clk(clk), .reset(reset), .rx_in(rx_in), .data_out(data_out), .valid(valid), .parity_error(parity_error), .frame_error(frame_error) ); // 输入 reg clk = 1; reg reset = 0; reg [6:0] data_in; reg [31:0] timer = 0; reg [31:0] rand_seed = 1; // 输出 wire tx_out; wire [6:0] data_out; wire valid; wire parity_error; wire frame_error; // 生成随机字节 function automatic void gen_byte(ref byte b); repeat (8) begin b[$] = $urandom(rand_seed) % 2; end endfunction // 生成随机奇偶校验的字节 function automatic void gen_parity_byte(ref byte b, ref bit p); repeat (8) begin b[$] = $urandom(rand_seed) % 2; p ^= b[$]; end b[$] = p; endfunction // 生成随机错误字节(奇偶性错误,数据位错误,停止位错误) function automatic void gen_error_byte(ref byte b, input bit parity, input bit data, input bit stop); repeat (8) begin b[$] = $urandom(rand_seed) % 2; end b[0] = ~b[0] ^ parity; if (data) begin b[$-1] = ~b[$-1]; end if (stop) begin b[$] = ~b[$]; end endfunction // 生成随机字节序列 function automatic void gen_bytes(ref byte [][8] bytes, input int count, input bit parity); bit p; for (int i = 0; i < count; i++) begin gen_parity_byte(bytes[i], p); if (parity == 0) begin p = ~p; end end endfunction // 生成随机错误字节序列 function automatic void gen_error_bytes(ref byte [][8] bytes, input int count, input bit parity, input bit data, input bit stop); bit p; for (int i = 0; i < count; i++) begin gen_error_byte(bytes[i], parity, data, stop); end endfunction // 计时器 always @(posedge clk) begin timer <= timer + 1; end // 时钟 always #5 clk <= ~clk; // 测试过程 initial begin // 测试1:连续发送100个随机字节 $display("Test 1: send 100 random bytes"); gen_bytes(data_in, 100, 1); repeat (100) begin #1; end repeat (10) begin #1; end // 测试2:发送一个有奇偶校验错误的字节 $display("Test 2: send a byte with parity error"); gen_error_bytes(data_in, 1, 1, 0, 0); repeat (10) begin #1; end // 测试3:发送一个有数据位错误的字节 $display("Test 3: send a byte with data error"); gen_error_bytes(data_in, 1, 0, 1, 0); repeat (10) begin #1; end // 测试4:发送一个有停止位错误的字节 $display("Test 4: send a byte with stop error"); gen_error_bytes(data_in, 1, 0, 0, 1); repeat (10) begin #1; end // 结束 $finish; end endmodule ```

相关推荐

最新推荐

recommend-type

Verilog HDL 按位逻辑运算符

下表显示对于不同按位逻辑运算符按位操作的结果: 图7 按位逻辑运算符真值表例如,假定, 2004-08-16 版权所有,侵权必究第24页,共41页 绝密Verilog HDL 入门教程请输入文档编号 A = 'b0110;B = 'b0100; 那么:A B ...
recommend-type

verilog实现的UART(带中断、奇偶校验、帧错误)

input wire clk, //50MHz input wire rst_n, input wire rx_in, //串行输入
recommend-type

verilog实现任意位二进制转换BCD

一直感觉这是个很简单的问题,直到突然自己连BCD都不太清楚的时候,才发现这没有看起来那么简单,这里介绍里任意位二进制转为BCD的verilog代码,这个转换方法也可以用在C上面,此为原创,转载请注明,谢谢。
recommend-type

vb仓库管理系统(可执行程序+源码+ 开题报告+ 答辩稿)【VB】.zip

vb仓库管理系统(可执行程序+源码+ 开题报告+ 答辩稿)【VB】
recommend-type

甘胺酸市场 - 全球产业规模、份额、趋势、机会和预测,按类型、应用、地区和竞争细分,2019-2029F.docx

甘胺酸市场 - 全球产业规模、份额、趋势、机会和预测,按类型、应用、地区和竞争细分,2019-2029F
recommend-type

中文翻译Introduction to Linear Algebra, 5th Edition 2.1节

中文翻译Introduction to Linear Algebra, 5th Edition 2.1节 线性代数的核心问题是求解方程组。这些方程都是线性的,即未知数仅与数相乘——我们绝不会 遇见 x 乘以 y。我们的第一个线性方程组较小。接下来你来看看它引申出多远: 两个方程 两个未知数 x − 2y = 1 3x + 2y = 11 (1) 我们一次从一个行开始。第一个方程 x − 2y = 1 得出了 xy 平面的一条直线。由于点 x = 1, y = 0 解 出该方程,因此它在这条直线上。因为 3 − 2 = 1,所以点 x = 3, y = 1 也在这条直线上。若我们选择 x = 101,那我们求出 y = 50。 这条特定直线的斜率是 12,是因为当 x 变化 2 时 y 增加 1。斜率在微积分中很重要,然而这是线 性代数! 图 2.1 将展示第一条直线 x − 2y = 1。此“行图”中的第二条直线来自第二个方程 3x + 2y = 11。你 不能错过两条线的交点 x = 3, y = 1。点 (3, 1) 位于两条线上并且解出两个方程。
recommend-type

管理建模和仿真的文件

管理Boualem Benatallah引用此版本:布阿利姆·贝纳塔拉。管理建模和仿真。约瑟夫-傅立叶大学-格勒诺布尔第一大学,1996年。法语。NNT:电话:00345357HAL ID:电话:00345357https://theses.hal.science/tel-003453572008年12月9日提交HAL是一个多学科的开放存取档案馆,用于存放和传播科学研究论文,无论它们是否被公开。论文可以来自法国或国外的教学和研究机构,也可以来自公共或私人研究中心。L’archive ouverte pluridisciplinaire
recommend-type

识别MATLAB微分方程求解中的混沌行为:分析非线性方程混沌行为的实用技巧

![matlab求解微分方程](https://img-blog.csdnimg.cn/2021062810300367.png?x-oss-process=image/watermark,type_ZmFuZ3poZW5naGVpdGk,shadow_10,text_aHR0cHM6Ly9ibG9nLmNzZG4ubmV0L3dlaXhpbl80MTQ3OTY3OA==,size_16,color_FFFFFF,t_70) # 1. MATLAB微分方程求解概述 微分方程是描述物理、工程和金融等领域中动态系统的数学模型。MATLAB提供了强大的工具来求解微分方程,包括内置求解器和自定义函数
recommend-type

physon如何做ERP系统

作为一个AI语言模型,我可以给您提供一些基本的建议和思路: 1.明确需求和目标:在开始开发ERP系统之前,需要明确您的业务需求和目标,了解您的业务流程、流程数据、员工角色和权限、财务管理等方面的要求,这样才能更好的设计和开发ERP系统。 2.选择技术框架和开发工具:选择合适的技术框架和开发工具是ERP系统开发的关键。选择一种流行的技术框架和工具可以提高开发效率和质量。 3.设计数据库:ERP系统需要一个功能强大的数据库来存储数据。设计数据库需要考虑数据的完整性、安全性和可扩展性。 4.设计系统架构:系统架构是ERP系统的骨架,需要考虑系统的可扩展性、可维护性和性能。 5.开发和测试:
recommend-type

zigbee-cluster-library-specification

最新的zigbee-cluster-library-specification说明文档。