用行为语句设计一个8位可变模计数器, 要求:1. 当计数器计到1xx(“xx”为学号后两位)时,自动从零开始重新计数,同时产生一个高电平。 2. 当有加载信号时,装入预置的值(班内序号)。我的学号最后一位93,班内序号3 。写出题目的modelsim测试程序

时间: 2024-02-18 08:06:21 浏览: 23
以下是一个可变模计数器的行为语句设计,满足题目要求: ```vhdl library ieee; use ieee.std_logic_1164.all; entity counter is port ( clk: in std_logic; reset: in std_logic; load: in std_logic; preset: in std_logic_vector(7 downto 0); out_count: out std_logic_vector(7 downto 0); out_high: out std_logic ); end entity counter; architecture behavior of counter is signal count: std_logic_vector(7 downto 0); begin process(clk, reset) begin if reset = '1' then count <= "00000000"; out_high <= '0'; elsif rising_edge(clk) then if load = '1' then count <= preset; elsif count = ("1" & "00" & "1001") then count <= "00000000"; out_high <= '1'; else count <= count + 1; out_high <= '0'; end if; end if; end process; out_count <= count; end architecture behavior; ``` 以下是一个简单的ModelSim测试程序,用于测试可变模计数器的功能: ```vhdl library ieee; use ieee.std_logic_1164.all; entity counter_tb is end entity counter_tb; architecture behavior of counter_tb is signal clk: std_logic := '0'; signal reset: std_logic := '0'; signal load: std_logic := '0'; signal preset: std_logic_vector(7 downto 0) := "00000011"; signal out_count: std_logic_vector(7 downto 0); signal out_high: std_logic; constant PERIOD: time := 10 ns; begin uut: entity work.counter port map ( clk => clk, reset => reset, load => load, preset => preset, out_count => out_count, out_high => out_high ); clk_process: process begin while now < 1000 ns loop clk <= not clk; wait for PERIOD / 2; end loop; wait; end process; reset_process: process begin reset <= '1'; wait for 50 ns; reset <= '0'; wait for 50 ns; reset <= '1'; wait; end process; load_process: process begin wait for 200 ns; load <= '1'; wait for 10 ns; load <= '0'; wait for 200 ns; load <= '1'; wait for 10 ns; load <= '0'; wait; end process; stimulus: process begin wait for 100 ns; assert out_count = "00000000" and out_high = '0' report "Test failed" severity error; wait for 500 ns; assert out_count = "00000101" and out_high = '0' report "Test failed" severity error; wait for 500 ns; assert out_count = "00001010" and out_high = '0' report "Test failed" severity error; wait for 500 ns; assert out_count = "00001111" and out_high = '0' report "Test failed" severity error; wait for 500 ns; assert out_count = "00010100" and out_high = '0' report "Test failed" severity error; wait for 500 ns; assert out_count = "00011001" and out_high = '1' report "Test failed" severity error; wait for 500 ns; assert out_count = "00000000" and out_high = '1' report "Test failed" severity error; wait for 500 ns; assert out_count = "00000101" and out_high = '0' report "Test failed" severity error; wait; end process; end architecture behavior; ``` 这个测试程序会测试可变模计数器的递增功能、重置功能和装载功能。在测试中,会先重置计数器,等待一段时间后,装载预置值。然后计数器会递增,直到计数器计到193时,会自动从零开始重新计数,同时产生一个高电平。测试程序会检查计数器的输出是否符合预期,如果不符合,则会报告测试失败。

相关推荐

最新推荐

recommend-type

数据库实验一实验一 熟悉数据库管理工具、数据库和表的基本操作 一、实验目的: 1.了解SQL Server或MYSQL数据库的基本知识; 2.熟悉SQL Se

实验一 熟悉数据库管理工具、数据库和表的基本操作 一、实验目的: 1.了解SQL Server或MYSQL数据库的基本知识; 2.熟悉SQL Server或MYSQL环境和系统结构; 3.掌握图形化交互工具的基本操作,学会数据库及表的...
recommend-type

探讨:sql插入空,默认1900-01-01 00:00:00.000的解决方法详解

sql2005 若字段定义的类型为datetime,插入为”(空),那么会默认值为1900-01-01 00:00:00.000 解决方法查询的时候过滤下cast(nullif(”,”) as datetime) 代码如下:select cast(” as datetime) , cast(nullif(”,”)...
recommend-type

FPGA实验设计一个十进制计数器

"FPGA实验设计一个十进制计数器" 本实验设计的是一个十进制计数器,使用 ModelSim 仿真器和 Verilog HDL 语言编写的代码。该计数器使用了 74LS160 中规模集成同步十进制加法计数器,具有异步清零和同步预置数的功能...
recommend-type

SQL Sever中使用SQL语句实现把重复行数据合并为一行并用逗号分隔

第二种方法稍微复杂一些,它首先生成一个带有所有`UserName`和逗号的字符串列表,然后使用`LEFT`函数去除最后一个逗号。 ```sql SELECT B.CityName, LEFT(UserList, LEN(UserList) - 1) FROM ( SELECT CityName...
recommend-type

java实验:编写一个java应用程序,用户从键盘输入一个1-99999的数,程序将判断这个数是几位数,并判断这个数是.pdf

首先,我们需要编写一个程序来判断用户从键盘输入的1到99999之间的数是几位数,并检查它是否为回文数。接着,第二个任务是创建一个猜数字的游戏,让用户猜测1到100之间的一个随机数。 对于第一个任务,我们可以从...
recommend-type

计算机基础知识试题与解答

"计算机基础知识试题及答案-(1).doc" 这篇文档包含了计算机基础知识的多项选择题,涵盖了计算机历史、操作系统、计算机分类、电子器件、计算机系统组成、软件类型、计算机语言、运算速度度量单位、数据存储单位、进制转换以及输入/输出设备等多个方面。 1. 世界上第一台电子数字计算机名为ENIAC(电子数字积分计算器),这是计算机发展史上的一个重要里程碑。 2. 操作系统的作用是控制和管理系统资源的使用,它负责管理计算机硬件和软件资源,提供用户界面,使用户能够高效地使用计算机。 3. 个人计算机(PC)属于微型计算机类别,适合个人使用,具有较高的性价比和灵活性。 4. 当前制造计算机普遍采用的电子器件是超大规模集成电路(VLSI),这使得计算机的处理能力和集成度大大提高。 5. 完整的计算机系统由硬件系统和软件系统两部分组成,硬件包括计算机硬件设备,软件则包括系统软件和应用软件。 6. 计算机软件不仅指计算机程序,还包括相关的文档、数据和程序设计语言。 7. 软件系统通常分为系统软件和应用软件,系统软件如操作系统,应用软件则是用户用于特定任务的软件。 8. 机器语言是计算机可以直接执行的语言,不需要编译,因为它直接对应于硬件指令集。 9. 微机的性能主要由CPU决定,CPU的性能指标包括时钟频率、架构、核心数量等。 10. 运算器是计算机中的一个重要组成部分,主要负责进行算术和逻辑运算。 11. MIPS(Millions of Instructions Per Second)是衡量计算机每秒执行指令数的单位,用于描述计算机的运算速度。 12. 计算机存储数据的最小单位是位(比特,bit),是二进制的基本单位。 13. 一个字节由8个二进制位组成,是计算机中表示基本信息的最小单位。 14. 1MB(兆字节)等于1,048,576字节,这是常见的内存和存储容量单位。 15. 八进制数的范围是0-7,因此317是一个可能的八进制数。 16. 与十进制36.875等值的二进制数是100100.111,其中整数部分36转换为二进制为100100,小数部分0.875转换为二进制为0.111。 17. 逻辑运算中,0+1应该等于1,但选项C错误地给出了0+1=0。 18. 磁盘是一种外存储设备,用于长期存储大量数据,既可读也可写。 这些题目旨在帮助学习者巩固和检验计算机基础知识的理解,涵盖的领域广泛,对于初学者或需要复习基础知识的人来说很有价值。
recommend-type

管理建模和仿真的文件

管理Boualem Benatallah引用此版本:布阿利姆·贝纳塔拉。管理建模和仿真。约瑟夫-傅立叶大学-格勒诺布尔第一大学,1996年。法语。NNT:电话:00345357HAL ID:电话:00345357https://theses.hal.science/tel-003453572008年12月9日提交HAL是一个多学科的开放存取档案馆,用于存放和传播科学研究论文,无论它们是否被公开。论文可以来自法国或国外的教学和研究机构,也可以来自公共或私人研究中心。L’archive ouverte pluridisciplinaire
recommend-type

【进阶】音频处理基础:使用Librosa

![【进阶】音频处理基础:使用Librosa](https://picx.zhimg.com/80/v2-a39e5c9bff1d920097341591ca8a2dfe_1440w.webp?source=1def8aca) # 2.1 Librosa库的安装和导入 Librosa库是一个用于音频处理的Python库。要安装Librosa库,请在命令行中输入以下命令: ``` pip install librosa ``` 安装完成后,可以通过以下方式导入Librosa库: ```python import librosa ``` 导入Librosa库后,就可以使用其提供的各种函数
recommend-type

设置ansible 开机自启

Ansible是一个强大的自动化运维工具,它可以用来配置和管理服务器。如果你想要在服务器启动时自动运行Ansible任务,通常会涉及到配置服务或守护进程。以下是使用Ansible设置开机自启的基本步骤: 1. **在主机上安装必要的软件**: 首先确保目标服务器上已经安装了Ansible和SSH(因为Ansible通常是通过SSH执行操作的)。如果需要,可以通过包管理器如apt、yum或zypper安装它们。 2. **编写Ansible playbook**: 创建一个YAML格式的playbook,其中包含`service`模块来管理服务。例如,你可以创建一个名为`setu
recommend-type

计算机基础知识试题与解析

"计算机基础知识试题及答案(二).doc" 这篇文档包含了计算机基础知识的多项选择题,涵盖了操作系统、硬件、数据表示、存储器、程序、病毒、计算机分类、语言等多个方面的知识。 1. 计算机系统由硬件系统和软件系统两部分组成,选项C正确。硬件包括计算机及其外部设备,而软件包括系统软件和应用软件。 2. 十六进制1000转换为十进制是4096,因此选项A正确。十六进制的1000相当于1*16^3 = 4096。 3. ENTER键是回车换行键,用于确认输入或换行,选项B正确。 4. DRAM(Dynamic Random Access Memory)是动态随机存取存储器,选项B正确,它需要周期性刷新来保持数据。 5. Bit是二进制位的简称,是计算机中数据的最小单位,选项A正确。 6. 汉字国标码GB2312-80规定每个汉字用两个字节表示,选项B正确。 7. 微机系统的开机顺序通常是先打开外部设备(如显示器、打印机等),再开启主机,选项D正确。 8. 使用高级语言编写的程序称为源程序,需要经过编译或解释才能执行,选项A正确。 9. 微机病毒是指人为设计的、具有破坏性的小程序,通常通过网络传播,选项D正确。 10. 运算器、控制器及内存的总称是CPU(Central Processing Unit),选项A正确。 11. U盘作为外存储器,断电后存储的信息不会丢失,选项A正确。 12. 财务管理软件属于应用软件,是为特定应用而开发的,选项D正确。 13. 计算机网络的最大好处是实现资源共享,选项C正确。 14. 个人计算机属于微机,选项D正确。 15. 微机唯一能直接识别和处理的语言是机器语言,它是计算机硬件可以直接执行的指令集,选项D正确。 16. 断电会丢失原存信息的存储器是半导体RAM(Random Access Memory),选项A正确。 17. 硬盘连同驱动器是一种外存储器,用于长期存储大量数据,选项B正确。 18. 在内存中,每个基本单位的唯一序号称为地址,选项B正确。 以上是对文档部分内容的详细解释,这些知识对于理解和操作计算机系统至关重要。