EDA 共阴极动态显示数码管代码

时间: 2023-07-02 19:22:54 浏览: 103
这里是一个使用 Verilog HDL 编写的共阴极动态显示数码管的代码示例。 ``` module display( input wire [3:0] num, output reg [6:0] seg ); reg [3:0] count = 4'd0; reg [3:0] digit = 4'd1; always @ (posedge clk) begin count <= count + 1; if (count == 4'd15) begin count <= 4'd0; digit <= digit + 1; if (digit == 4'd5) digit <= 4'd1; end end always @ (*) begin case (digit) 4'd1: seg = 7'b111_1110; // 0 4'd2: seg = 7'b011_0000; // 1 4'd3: seg = 7'b110_1101; // 2 4'd4: seg = 7'b111_1001; // 3 default: seg = 7'b111_1111; endcase if (count < num) seg[6] = 1'b0; end endmodule ``` 这个代码示例与前面的例子类似,但是使用了共阴极的数码管。在这个示例中,`seg` 输出信号是一个七位二进制数字,每一位表示一个 LED 灯的状态。共阴极的数码管需要将输出信号设置为低电平才能点亮每个 LED 灯,因此在 `always @ (*)` 语句块中每个数字的输出信号都被设置为相反的值。 另外,如果当前计数器的值小于要显示的数字,那么第七个 LED 灯会被熄灭,以显示一个小数点。

相关推荐

最新推荐

recommend-type

各种eda代码(包你满意)

1.八进制计数器 2.八位右移寄存器 3.八位右移寄存器(并行输入串行输出) 4.半加 5.半加器 6.半减器 7.两数比较器 8.三数比较器 9.D触发器 10.T触发器 ...22.含同步清零的十进制加计数器
recommend-type

EDA实验8 数码管扫描显示的设计

EDA实验 数码管扫描显示的设计 用VHDL语言设计一个数码管动态扫描显示控制器,其顶层电路框图如图8-1所示,顶层电路原理图如图8-2所示。本电路具有预置输入功能,能够自动检测四位输入数据
recommend-type

EDA实验报告.docx

广东工业大学数字逻辑与EDA设计实验报告 师姐靠这个拿了95分,实验内容全面,实验报告优秀,免费开源
recommend-type

EDA实验七人表决器(代码+连线图)

7人表决器本设计就是利用EDA/SOPC-II+实验箱中的拨挡开关模块...LED模块中D1_1表示七人表决的结果,当同意数>3人的时候,D1_1点亮表示一致同意,同时使用试验台的大板数码管显示同意的人数,否则D1_1熄灭表示一致反对
recommend-type

verilog_代码编写软件UE_高亮

今天有用UE查看verilog程序,下载的UE是破解版的,打开后灰蒙蒙的一片,很不爽的,于是搜索一番,下面是一段用于verilog在UE中高亮显示的程序,可以用的。以备后用。
recommend-type

zigbee-cluster-library-specification

最新的zigbee-cluster-library-specification说明文档。
recommend-type

管理建模和仿真的文件

管理Boualem Benatallah引用此版本:布阿利姆·贝纳塔拉。管理建模和仿真。约瑟夫-傅立叶大学-格勒诺布尔第一大学,1996年。法语。NNT:电话:00345357HAL ID:电话:00345357https://theses.hal.science/tel-003453572008年12月9日提交HAL是一个多学科的开放存取档案馆,用于存放和传播科学研究论文,无论它们是否被公开。论文可以来自法国或国外的教学和研究机构,也可以来自公共或私人研究中心。L’archive ouverte pluridisciplinaire
recommend-type

实现实时数据湖架构:Kafka与Hive集成

![实现实时数据湖架构:Kafka与Hive集成](https://img-blog.csdnimg.cn/img_convert/10eb2e6972b3b6086286fc64c0b3ee41.jpeg) # 1. 实时数据湖架构概述** 实时数据湖是一种现代数据管理架构,它允许企业以低延迟的方式收集、存储和处理大量数据。与传统数据仓库不同,实时数据湖不依赖于预先定义的模式,而是采用灵活的架构,可以处理各种数据类型和格式。这种架构为企业提供了以下优势: - **实时洞察:**实时数据湖允许企业访问最新的数据,从而做出更明智的决策。 - **数据民主化:**实时数据湖使各种利益相关者都可
recommend-type

SQL怎么实现 数据透视表

SQL可以通过使用聚合函数和GROUP BY子句来实现数据透视表。 例如,假设有一个销售记录表,其中包含产品名称、销售日期、销售数量和销售额等信息。要创建一个按照产品名称、销售日期和销售额进行汇总的数据透视表,可以使用以下SQL语句: ``` SELECT ProductName, SaleDate, SUM(SaleQuantity) AS TotalQuantity, SUM(SaleAmount) AS TotalAmount FROM Sales GROUP BY ProductName, SaleDate; ``` 该语句将Sales表按照ProductName和SaleDat
recommend-type

JSBSim Reference Manual

JSBSim参考手册,其中包含JSBSim简介,JSBSim配置文件xml的编写语法,编程手册以及一些应用实例等。其中有部分内容还没有写完,估计有生之年很难看到完整版了,但是内容还是很有参考价值的。