51单片机PCF8591光敏电阻调灯亮度代码并告诉我ad数据的数字范围

时间: 2024-03-14 08:42:39 浏览: 21
以下是一个基于51单片机和PCF8591的光敏电阻调灯亮度的代码示例: ```C #include <reg52.h> #include <intrins.h> #define uchar unsigned char #define uint unsigned int sbit SCL=P2^1; //IIC时钟引脚 sbit SDA=P2^0; //IIC数据引脚 sbit Led=P1^0; //LED灯引脚 void delay(uint x) //延时函数 { uint i,j; for(i=0;i<x;i++) for(j=0;j<125;j++); } void Start() //IIC起始信号 { SDA=1; SCL=1; _nop_(); _nop_(); _nop_(); _nop_(); SDA=0; _nop_(); _nop_(); _nop_(); _nop_(); SCL=0; } void Stop() //IIC停止信号 { SDA=0; _nop_(); _nop_(); _nop_(); _nop_(); SCL=1; _nop_(); _nop_(); _nop_(); _nop_(); SDA=1; } void Write(uchar dat) //IIC写数据 { uchar i; for(i=0;i<8;i++) { SDA=dat&0x80; dat<<=1; SCL=1; _nop_(); _nop_(); _nop_(); _nop_(); SCL=0; } } uchar Read() //IIC读数据 { uchar i,dat=0; SDA=1; for(i=0;i<8;i++) { SCL=1; _nop_(); _nop_(); _nop_(); _nop_(); dat<<=1; dat|=SDA; SCL=0; } return dat; } void main() { uchar ad_dat; Start(); Write(0x90); //发送写命令,选择PCF8591设备 Write(0x40); //选择通道0 while(1) { Write(0x00); //发送转换命令 delay(5); //延时等待转换完成 Start(); Write(0x91); //发送读命令,选择PCF8591设备 ad_dat=Read(); //读取AD转换数据 Stop(); Led=ad_dat>128?0:1; //根据AD转换数据控制LED灯亮度 } } ``` 该代码使用了51单片机和PCF8591的I2C通信协议,通过读取PCF8591的AD转换数据来调节LED灯的亮度。其中,PCF8591的A/D转换器可以将模拟量转换成数字量,转换后的数字范围是0~255(8位分辨率),因此AD转换数据的数字范围也是0~255。

相关推荐

最新推荐

recommend-type

PCF8591中文数据手册.pdf

PCF8591是一个单片集成、单独供电、低功耗、8-bit CMOS数据获取器件。PCF8591具有4个模拟输入、1个模拟输出和1个串行I²C总线接口。PCF8591的3个地址引脚A0, A1和A2可用于硬件地址编程,允许在同个I2C总线上接入8个...
recommend-type

PCF8591中文数据手册

PCF8591是单片机上常用的DA\AD转换芯片,本文档是该芯片的中文数据手册,欢迎下载。
recommend-type

PCF8591的应用实例

I2C总线是Philips公司推出的新型单片机系统。它采用串行总线,主控器...本文介绍了具有I2C接口的A/D芯片PCF8591的引脚图及应用电路,并在暖水锅炉温度记录仪中得到应用。 关键词:I2C总线 A/D转换 器件地址 控制字节
recommend-type

Java毕业设计-基于SSM框架的大学生社团管理系统带lw(源码+演示视频+说明).rar

Java毕业设计-基于SSM框架的大学生社团管理系统带lw(源码+演示视频+说明).rar 【项目技术】 开发语言:Java 框架:ssm+vue 架构:B/S 数据库:mysql 【演示视频-编号:438】 https://pan.quark.cn/s/b3a97032fae7 【实现功能】 实现了社长管理,社团信息管理,社团分类管理,社团加入信息管理,社团成员登记管理,活动信息管理等功能。
recommend-type

基于javaEE+Mysql实现的超市积分管理系统(源码+数据库sql+论文+视频齐全)

【作品名称】:基于javaEE+Mysql实现的超市积分管理系统(源码+数据库sql+论文+视频齐全) 【适用人群】:适用于希望学习不同技术领域的小白或进阶学习者。可作为毕设项目、课程设计、大作业、工程实训或初期项目立项。 【项目介绍】: 超市会员积分管理系统主要用于实现了企业管理数据统计等。本系统结构如下: (1)网络会员管理中心界面: 会员修改密码信息模块:实现会员密码功能; 会员登陆模块:实现会员登陆功能; 会员注册模块:实现会员注册功能; 留言板模块:实现留言板留言功能 (2)后台管理界面: 系统用户管理模块:实现管理员的增加、查看功能; 会员信息管理模块:实现会员信息的增加、修改、查看功能; 注册用户管理模块:实现注册用户的增加、修改、查看功能; 会员卡管理模块:实现会员卡信息的增加、查看功能; 商品销售管理模块:实现商品信息的增加、查看功能; 会员积分管理模块:实现合作公司信息的增加、查看功能; 信息统计模块:实现数据统计报表功能; 留言板模块:实现留言板信息的增加、修改、查看功能;
recommend-type

zigbee-cluster-library-specification

最新的zigbee-cluster-library-specification说明文档。
recommend-type

管理建模和仿真的文件

管理Boualem Benatallah引用此版本:布阿利姆·贝纳塔拉。管理建模和仿真。约瑟夫-傅立叶大学-格勒诺布尔第一大学,1996年。法语。NNT:电话:00345357HAL ID:电话:00345357https://theses.hal.science/tel-003453572008年12月9日提交HAL是一个多学科的开放存取档案馆,用于存放和传播科学研究论文,无论它们是否被公开。论文可以来自法国或国外的教学和研究机构,也可以来自公共或私人研究中心。L’archive ouverte pluridisciplinaire
recommend-type

实现实时数据湖架构:Kafka与Hive集成

![实现实时数据湖架构:Kafka与Hive集成](https://img-blog.csdnimg.cn/img_convert/10eb2e6972b3b6086286fc64c0b3ee41.jpeg) # 1. 实时数据湖架构概述** 实时数据湖是一种现代数据管理架构,它允许企业以低延迟的方式收集、存储和处理大量数据。与传统数据仓库不同,实时数据湖不依赖于预先定义的模式,而是采用灵活的架构,可以处理各种数据类型和格式。这种架构为企业提供了以下优势: - **实时洞察:**实时数据湖允许企业访问最新的数据,从而做出更明智的决策。 - **数据民主化:**实时数据湖使各种利益相关者都可
recommend-type

机器学习怎么将excel转为csv文件

机器学习是一种利用计算机算法和统计数据的方法来训练计算机来进行自动学习的科学,无法直接将excel文件转为csv文件。但是可以使用Python编程语言来读取Excel文件内容并将其保存为CSV文件。您可以使用Pandas库来读取Excel文件,并使用to_csv()函数将其保存为CSV格式。以下是代码示例: ```python import pandas as pd # 读取 Excel 文件 excel_data = pd.read_excel('example.xlsx') # 将数据保存为 CSV 文件 excel_data.to_csv('example.csv', index=
recommend-type

JSBSim Reference Manual

JSBSim参考手册,其中包含JSBSim简介,JSBSim配置文件xml的编写语法,编程手册以及一些应用实例等。其中有部分内容还没有写完,估计有生之年很难看到完整版了,但是内容还是很有参考价值的。