用quartus2实现msk调制解调的VHDL完整代码

时间: 2024-05-12 18:15:00 浏览: 23
以下是一个简单的MSK调制解调器的VHDL代码示例,使用Quartus II软件实现。该代码通过使用带有相位差分解调器的Costas环路来实现解调。该代码仅供参考。 ``` library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity MSK_ModDemod is Port ( Mod_Clk : in STD_LOGIC; Demod_Clk : in STD_LOGIC; Carrier_Freq : in STD_LOGIC_VECTOR (15 downto 0); Bit_Rate : in STD_LOGIC_VECTOR (15 downto 0); Mod_Data : in STD_LOGIC_VECTOR (7 downto 0); Demod_Data : out STD_LOGIC_VECTOR (7 downto 0)); end MSK_ModDemod; architecture Behavioral of MSK_ModDemod is signal mod_clk_div : std_logic_vector(7 downto 0); signal demod_clk_div : std_logic_vector(7 downto 0); signal mod_data_i : std_logic_vector(7 downto 0); signal mod_data_q : std_logic_vector(7 downto 0); signal modulated_signal : std_logic_vector(1023 downto 0); signal demodulated_signal : std_logic_vector(1023 downto 0); signal modulated_signal_i : std_logic_vector(1023 downto 0); signal modulated_signal_q : std_logic_vector(1023 downto 0); signal modulated_signal2_i : std_logic_vector(1023 downto 0); signal modulated_signal2_q : std_logic_vector(1023 downto 0); signal costas_error : std_logic_vector(1023 downto 0); signal costas_out_i : std_logic_vector(1023 downto 0); signal costas_out_q : std_logic_vector(1023 downto 0); signal demod_data : std_logic_vector(7 downto 0); constant pi : real := 3.14159265358979323846; constant fs : real := 1.0e6; constant fc : real := 10.0e6; constant T : real := 1.0/fs; constant Ts : real := 1.0/Bit_Rate'val; constant A : real := 1.0; constant alpha : real := 0.5*pi*Bit_Rate'val/fs; constant beta : real := 0.5*pi*Carrier_Freq'val/fs; constant gamma : real := 0.5*pi*(Carrier_Freq'val-Bit_Rate'val)/fs; component Costas_Loop is Port ( Clk : in STD_LOGIC; Input_I : in STD_LOGIC_VECTOR(7 downto 0); Input_Q : in STD_LOGIC_VECTOR(7 downto 0); Output_I : out STD_LOGIC_VECTOR(7 downto 0); Output_Q : out STD_LOGIC_VECTOR(7 downto 0); Error : out STD_LOGIC_VECTOR(7 downto 0)); end component; begin mod_clk_div <= std_logic_vector(unsigned(Carrier_Freq)*T*2**8); demod_clk_div <= std_logic_vector(unsigned(Carrier_Freq+Bit_Rate)*T*2**8); process(Mod_Clk) begin if rising_edge(Mod_Clk) then mod_data_i <= Mod_Data; mod_data_q <= not Mod_Data; for i in 0 to 1023 loop if i*T*fs < Ts then modulated_signal_i(i) <= mod_data_i(0); modulated_signal_q(i) <= mod_data_q(0); elsif (i*T*fs >= Ts) and (i*T*fs < 2*Ts) then modulated_signal_i(i) <= mod_data_i(1); modulated_signal_q(i) <= mod_data_q(1); elsif (i*T*fs >= 2*Ts) and (i*T*fs < 3*Ts) then modulated_signal_i(i) <= mod_data_i(2); modulated_signal_q(i) <= mod_data_q(2); elsif (i*T*fs >= 3*Ts) and (i*T*fs < 4*Ts) then modulated_signal_i(i) <= mod_data_i(3); modulated_signal_q(i) <= mod_data_q(3); elsif (i*T*fs >= 4*Ts) and (i*T*fs < 5*Ts) then modulated_signal_i(i) <= mod_data_i(4); modulated_signal_q(i) <= mod_data_q(4); elsif (i*T*fs >= 5*Ts) and (i*T*fs < 6*Ts) then modulated_signal_i(i) <= mod_data_i(5); modulated_signal_q(i) <= mod_data_q(5); elsif (i*T*fs >= 6*Ts) and (i*T*fs < 7*Ts) then modulated_signal_i(i) <= mod_data_i(6); modulated_signal_q(i) <= mod_data_q(6); else modulated_signal_i(i) <= mod_data_i(7); modulated_signal_q(i) <= mod_data_q(7); end if; end loop; for i in 0 to 1023 loop modulated_signal2_i(i) <= modulated_signal_i(i)*cos(i*T*fs*2.0*pi*Carrier_Freq'val); modulated_signal2_q(i) <= modulated_signal_q(i)*sin(i*T*fs*2.0*pi*Carrier_Freq'val); end loop; modulated_signal <= modulated_signal2_i + modulated_signal2_q; end if; end process; process(Demod_Clk) begin if rising_edge(Demod_Clk) then for i in 0 to 1023 loop costas_error(i) <= costas_error(i) + (modulated_signal_i(i)*costas_out_q(i) - costas_out_i(i)*modulated_signal_q(i)); costas_out_i(i) <= modulated_signal_i(i)*cos(i*T*fs*2.0*pi*Carrier_Freq'val + costas_error(i)*beta); costas_out_q(i) <= modulated_signal_q(i)*sin(i*T*fs*2.0*pi*Carrier_Freq'val + costas_error(i)*beta); end loop; for i in 1 to 1023 loop if costas_out_i(i-1) < 0 and costas_out_i(i) >= 0 then demod_data <= demod_data(6 downto 0) & costas_out_q(i-1); end if; end loop; Demod_Data <= demod_data; end if; end process; Costas : Costas_Loop port map(Demod_Clk, costas_out_i, costas_out_q, costas_out_i, costas_out_q, costas_error); end Behavioral; ```

相关推荐

最新推荐

recommend-type

基于Quartus Ⅱ软件实现16位CPU的设计方案

CPU是广泛使用的串行数据通讯电路。本设计包含发送器、接收器和波特率发生器。...本文利用Quartus Ⅱ软件仿真环境,基于FPGA(现场可编程门阵列)/CPLD(复杂可编程逻辑器件)设计与实现16位CPU的设计方案。
recommend-type

Quartus 2 RS、D、JK、T、触发器实验报告 D触发器构成二分频、四分频电路

初步学习Quartus软件时,了解各种触发器的机理、用软件进行仿真,看波形图。 Dff芯片 集成d 触发器,单独触发器 7474芯片D触发器 74112 J、K触发器 Tff T触发器 二分频触发器:时钟每触发2个周期时,电路输出1个周期...
recommend-type

服务器虚拟化部署方案.doc

服务器、电脑、
recommend-type

北京市东城区人民法院服务器项目.doc

服务器、电脑、
recommend-type

计算机基础知识试题与解答

"计算机基础知识试题及答案-(1).doc" 这篇文档包含了计算机基础知识的多项选择题,涵盖了计算机历史、操作系统、计算机分类、电子器件、计算机系统组成、软件类型、计算机语言、运算速度度量单位、数据存储单位、进制转换以及输入/输出设备等多个方面。 1. 世界上第一台电子数字计算机名为ENIAC(电子数字积分计算器),这是计算机发展史上的一个重要里程碑。 2. 操作系统的作用是控制和管理系统资源的使用,它负责管理计算机硬件和软件资源,提供用户界面,使用户能够高效地使用计算机。 3. 个人计算机(PC)属于微型计算机类别,适合个人使用,具有较高的性价比和灵活性。 4. 当前制造计算机普遍采用的电子器件是超大规模集成电路(VLSI),这使得计算机的处理能力和集成度大大提高。 5. 完整的计算机系统由硬件系统和软件系统两部分组成,硬件包括计算机硬件设备,软件则包括系统软件和应用软件。 6. 计算机软件不仅指计算机程序,还包括相关的文档、数据和程序设计语言。 7. 软件系统通常分为系统软件和应用软件,系统软件如操作系统,应用软件则是用户用于特定任务的软件。 8. 机器语言是计算机可以直接执行的语言,不需要编译,因为它直接对应于硬件指令集。 9. 微机的性能主要由CPU决定,CPU的性能指标包括时钟频率、架构、核心数量等。 10. 运算器是计算机中的一个重要组成部分,主要负责进行算术和逻辑运算。 11. MIPS(Millions of Instructions Per Second)是衡量计算机每秒执行指令数的单位,用于描述计算机的运算速度。 12. 计算机存储数据的最小单位是位(比特,bit),是二进制的基本单位。 13. 一个字节由8个二进制位组成,是计算机中表示基本信息的最小单位。 14. 1MB(兆字节)等于1,048,576字节,这是常见的内存和存储容量单位。 15. 八进制数的范围是0-7,因此317是一个可能的八进制数。 16. 与十进制36.875等值的二进制数是100100.111,其中整数部分36转换为二进制为100100,小数部分0.875转换为二进制为0.111。 17. 逻辑运算中,0+1应该等于1,但选项C错误地给出了0+1=0。 18. 磁盘是一种外存储设备,用于长期存储大量数据,既可读也可写。 这些题目旨在帮助学习者巩固和检验计算机基础知识的理解,涵盖的领域广泛,对于初学者或需要复习基础知识的人来说很有价值。
recommend-type

管理建模和仿真的文件

管理Boualem Benatallah引用此版本:布阿利姆·贝纳塔拉。管理建模和仿真。约瑟夫-傅立叶大学-格勒诺布尔第一大学,1996年。法语。NNT:电话:00345357HAL ID:电话:00345357https://theses.hal.science/tel-003453572008年12月9日提交HAL是一个多学科的开放存取档案馆,用于存放和传播科学研究论文,无论它们是否被公开。论文可以来自法国或国外的教学和研究机构,也可以来自公共或私人研究中心。L’archive ouverte pluridisciplinaire
recommend-type

【进阶】音频处理基础:使用Librosa

![【进阶】音频处理基础:使用Librosa](https://picx.zhimg.com/80/v2-a39e5c9bff1d920097341591ca8a2dfe_1440w.webp?source=1def8aca) # 2.1 Librosa库的安装和导入 Librosa库是一个用于音频处理的Python库。要安装Librosa库,请在命令行中输入以下命令: ``` pip install librosa ``` 安装完成后,可以通过以下方式导入Librosa库: ```python import librosa ``` 导入Librosa库后,就可以使用其提供的各种函数
recommend-type

设置ansible 开机自启

Ansible是一个强大的自动化运维工具,它可以用来配置和管理服务器。如果你想要在服务器启动时自动运行Ansible任务,通常会涉及到配置服务或守护进程。以下是使用Ansible设置开机自启的基本步骤: 1. **在主机上安装必要的软件**: 首先确保目标服务器上已经安装了Ansible和SSH(因为Ansible通常是通过SSH执行操作的)。如果需要,可以通过包管理器如apt、yum或zypper安装它们。 2. **编写Ansible playbook**: 创建一个YAML格式的playbook,其中包含`service`模块来管理服务。例如,你可以创建一个名为`setu
recommend-type

计算机基础知识试题与解析

"计算机基础知识试题及答案(二).doc" 这篇文档包含了计算机基础知识的多项选择题,涵盖了操作系统、硬件、数据表示、存储器、程序、病毒、计算机分类、语言等多个方面的知识。 1. 计算机系统由硬件系统和软件系统两部分组成,选项C正确。硬件包括计算机及其外部设备,而软件包括系统软件和应用软件。 2. 十六进制1000转换为十进制是4096,因此选项A正确。十六进制的1000相当于1*16^3 = 4096。 3. ENTER键是回车换行键,用于确认输入或换行,选项B正确。 4. DRAM(Dynamic Random Access Memory)是动态随机存取存储器,选项B正确,它需要周期性刷新来保持数据。 5. Bit是二进制位的简称,是计算机中数据的最小单位,选项A正确。 6. 汉字国标码GB2312-80规定每个汉字用两个字节表示,选项B正确。 7. 微机系统的开机顺序通常是先打开外部设备(如显示器、打印机等),再开启主机,选项D正确。 8. 使用高级语言编写的程序称为源程序,需要经过编译或解释才能执行,选项A正确。 9. 微机病毒是指人为设计的、具有破坏性的小程序,通常通过网络传播,选项D正确。 10. 运算器、控制器及内存的总称是CPU(Central Processing Unit),选项A正确。 11. U盘作为外存储器,断电后存储的信息不会丢失,选项A正确。 12. 财务管理软件属于应用软件,是为特定应用而开发的,选项D正确。 13. 计算机网络的最大好处是实现资源共享,选项C正确。 14. 个人计算机属于微机,选项D正确。 15. 微机唯一能直接识别和处理的语言是机器语言,它是计算机硬件可以直接执行的指令集,选项D正确。 16. 断电会丢失原存信息的存储器是半导体RAM(Random Access Memory),选项A正确。 17. 硬盘连同驱动器是一种外存储器,用于长期存储大量数据,选项B正确。 18. 在内存中,每个基本单位的唯一序号称为地址,选项B正确。 以上是对文档部分内容的详细解释,这些知识对于理解和操作计算机系统至关重要。
recommend-type

"互动学习:行动中的多样性与论文攻读经历"

多样性她- 事实上SCI NCES你的时间表ECOLEDO C Tora SC和NCESPOUR l’Ingén学习互动,互动学习以行动为中心的强化学习学会互动,互动学习,以行动为中心的强化学习计算机科学博士论文于2021年9月28日在Villeneuve d'Asq公开支持马修·瑟林评审团主席法布里斯·勒菲弗尔阿维尼翁大学教授论文指导奥利维尔·皮耶昆谷歌研究教授:智囊团论文联合主任菲利普·普雷教授,大学。里尔/CRISTAL/因里亚报告员奥利维耶·西格德索邦大学报告员卢多维奇·德诺耶教授,Facebook /索邦大学审查员越南圣迈IMT Atlantic高级讲师邀请弗洛里安·斯特鲁布博士,Deepmind对于那些及时看到自己错误的人...3谢谢你首先,我要感谢我的两位博士生导师Olivier和Philippe。奥利维尔,"站在巨人的肩膀上"这句话对你来说完全有意义了。从科学上讲,你知道在这篇论文的(许多)错误中,你是我可以依