module fsj_5(RA, wt, rd, m, rst, clk, d, out, sel); input [1:0]RA; //通用寄存器选择 input rd, wt, rst, clk; //读开关,写开关,置零开关,时钟 input [1:0]m; //功能选择 input [15:0]d; //输入 output reg [7:0]out; //数码管输出 output reg [2:0]sel; //数码管3-8译码器输出 reg [3:0]data; reg [15:0]R0; reg [15:0]R1; reg [15:0]R2; reg [15:0]R3; reg [15:0]mid; reg [15:0]counter; reg clk_alt; reg [2:0]l; //变频段 always @(negedge clk) begin if(l>=7) l=0; else l=1+l; clk_alt=l[2]; end //读写操作 always @(RA or rd or wt) begin case(RA) 0:begin if(rd==1&&wt==0) R0=d; else if(rd==0&&wt==1) mid=R0; end 1:begin if(rd==1&&wt==0)R1=d; else if(rd==0&&wt==1)mid=R1; end 2:begin if(rd==1&&wt==0) R2=d; else if(rd==0&&wt==1) mid=R2; end 3:begin if(rd==1&&wt==0) R3=d; else if(rd==0&&wt==1) mid=R3; end endcase end //PC寄存器 always @(negedge clk_alt) begin if(rst==0)counter=0; else case(m) 1:counter=counter-1; 2:counter=counter+1; 3:counter=mid; endcase end //output always @(negedge clk) begin sel=sel+1; if(sel>=8) sel=0; case(sel) 0:data=counter[15:12]; 1:data=counter[11:8]; 2:data=counter[7:4]; 3:data=counter[3:0]; 4:data=mid[15:12]; 5:data=mid[11:8]; 6:data=mid[7:4]; 7:data=mid[3:0]; endcase end //译码 always case (data) 0:out=63; 1:out=6; 2:out=91; 3:out=79; 4:out=102; 5:out=109; 6:out=125; 7:out=7; 8:out=127; 9:out=111; 10:out=119; 11:out=124; 12:out=57; 13:out=94; 14:out=121; 15:out=113; endcase endmodule将这段代码修改成可以使用键盘输入数据

时间: 2023-06-14 07:05:47 浏览: 71
module fsj_5(RA, wt, rd, m, rst, clk, key, out, sel); input [1:0]RA; //通用寄存器选择 input rd, wt, rst, clk; //读开关,写开关,置零开关,时钟 input [1:0]m; //功能选择 input [3:0]key; //键盘输入 output reg [7:0]out; //数码管输出 output reg [2:0]sel; //数码管3-8译码器输出 reg [3:0]data; reg [15:0]R0; reg [15:0]R1; reg [15:0]R2; reg [15:0]R3; reg [15:0]mid; reg [15:0]counter; reg clk_alt; reg [2:0]l; //变频段 always @(negedge clk) begin if(l>=7) l=0; else l=1+l; clk_alt=l[2]; end always @(RA or rd or wt) begin case(RA) 0:begin if(rd==1&&wt==0) R0=key; //修改此处,将键盘输入写入寄存器 else if(rd==0&&wt==1) mid=R0; end 1:begin if(rd==1&&wt==0)R1=key; else if(rd==0&&wt==1)mid=R1; end 2:begin if(rd==1&&wt==0) R2=key; else if(rd==0&&wt==1) mid=R2; end 3:begin if(rd==1&&wt==0) R3=key; else if(rd==0&&wt==1) mid=R3; end endcase end always @(negedge clk_alt) begin if(rst==0)counter=0; else case(m) 1:counter=counter-1; 2:counter=counter+1; 3:counter=mid; endcase end always @(negedge clk) begin sel=sel+1; if(sel>=8) sel=0; case(sel) 0:data=counter[15:12]; 1:data=counter[11:8]; 2:data=counter[7:4]; 3:data=counter[3:0]; 4:data=mid[15:12]; 5:data=mid[11:8]; 6:data=mid[7:4]; 7:data=mid[3:0]; endcase end always case (data) 4'b0000:out=8'b00111111; 4'b0001:out=8'b00000110; 4'b0010:out=8'b01011011; 4'b0011:out=8'b01001111; 4'b0100:out=8'b01100110; 4'b0101:out=8'b01101101; 4'b0110:out=8'b01111101; 4'b0111:out=8'b00000111; 4'b1000:out=8'b01111111; 4'b1001:out=8'b01101111; 4'b1010:out=8'b01110111; 4'b1011:out=8'b01111100; 4'b1100:out=8'b00111001; 4'b1101:out=8'b01011110; 4'b1110:out=8'b01111001; 4'b1111:out=8'b01110001; endcase endmodule

相关推荐

最新推荐

recommend-type

微信小程序-番茄时钟源码

微信小程序番茄时钟的源码,支持进一步的修改。番茄钟,指的是把工作任务分解成半小时左右,集中精力工作25分钟后休息5分钟,如此视作种一个“番茄”,而“番茄工作法”的流程能使下一个30分钟更有动力。
recommend-type

激光雷达专题研究:迈向高阶智能化关键,前瞻布局把握行业脉搏.pdf

电子元件 电子行业 行业分析 数据分析 数据报告 行业报告
recommend-type

安享智慧理财测试项目Mock服务代码

安享智慧理财测试项目Mock服务代码
recommend-type

课程设计 基于SparkMLlib的ALS算法的电影推荐系统源码+详细文档+全部数据齐全.zip

【资源说明】 课程设计 基于SparkMLlib的ALS算法的电影推荐系统源码+详细文档+全部数据齐全.zip课程设计 基于SparkMLlib的ALS算法的电影推荐系统源码+详细文档+全部数据齐全.zip 【备注】 1、该项目是高分毕业设计项目源码,已获导师指导认可通过,答辩评审分达到95分 2、该资源内项目代码都经过测试运行成功,功能ok的情况下才上传的,请放心下载使用! 3、本项目适合计算机相关专业(如软件工程、计科、人工智能、通信工程、自动化、电子信息等)的在校学生、老师或者企业员工下载使用,也可作为毕业设计、课程设计、作业、项目初期立项演示等,当然也适合小白学习进阶。 4、如果基础还行,可以在此代码基础上进行修改,以实现其他功能,也可直接用于毕设、课设、作业等。 欢迎下载,沟通交流,互相学习,共同进步!
recommend-type

华中科技大学电信专业 课程资料 作业 代码 实验报告-雷达与信息对抗-内含源码和说明书.zip

华中科技大学电信专业 课程资料 作业 代码 实验报告-雷达与信息对抗-内含源码和说明书.zip
recommend-type

zigbee-cluster-library-specification

最新的zigbee-cluster-library-specification说明文档。
recommend-type

管理建模和仿真的文件

管理Boualem Benatallah引用此版本:布阿利姆·贝纳塔拉。管理建模和仿真。约瑟夫-傅立叶大学-格勒诺布尔第一大学,1996年。法语。NNT:电话:00345357HAL ID:电话:00345357https://theses.hal.science/tel-003453572008年12月9日提交HAL是一个多学科的开放存取档案馆,用于存放和传播科学研究论文,无论它们是否被公开。论文可以来自法国或国外的教学和研究机构,也可以来自公共或私人研究中心。L’archive ouverte pluridisciplinaire
recommend-type

实现实时数据湖架构:Kafka与Hive集成

![实现实时数据湖架构:Kafka与Hive集成](https://img-blog.csdnimg.cn/img_convert/10eb2e6972b3b6086286fc64c0b3ee41.jpeg) # 1. 实时数据湖架构概述** 实时数据湖是一种现代数据管理架构,它允许企业以低延迟的方式收集、存储和处理大量数据。与传统数据仓库不同,实时数据湖不依赖于预先定义的模式,而是采用灵活的架构,可以处理各种数据类型和格式。这种架构为企业提供了以下优势: - **实时洞察:**实时数据湖允许企业访问最新的数据,从而做出更明智的决策。 - **数据民主化:**实时数据湖使各种利益相关者都可
recommend-type

用 Python 画一个可以动的爱心

好的,我可以帮助您使用Python来绘制一个动态的爱心。您可以使用turtle库来实现。以下是实现代码: ```python import turtle import math # 设置画布和画笔 canvas = turtle.Screen() canvas.bgcolor("black") pencil = turtle.Turtle() pencil.speed(0) pencil.color("red", "pink") pencil.pensize(3) # 定义爱心函数 def draw_love(heart_size, x_offset=0, y_offset=0):
recommend-type

JSBSim Reference Manual

JSBSim参考手册,其中包含JSBSim简介,JSBSim配置文件xml的编写语法,编程手册以及一些应用实例等。其中有部分内容还没有写完,估计有生之年很难看到完整版了,但是内容还是很有参考价值的。