ver2-ace-editor安装verilog语言的语法检测文件
时间: 2023-04-06 18:00:27 浏览: 223
你可以通过以下步骤来安装verilog语言的语法检测文件:
1. 打开ver2-ace-editor的安装目录。
2. 进入到ace/mode目录下。
3. 在该目录下创建一个名为verilog的文件夹。
4. 在verilog文件夹中创建一个名为verilog_highlight_rules.js的文件。
5. 将以下代码复制到verilog_highlight_rules.js文件中:
```
define(function(require, exports, module) {
var oop = require("ace/lib/oop");
var TextHighlightRules = require("ace/mode/text_highlight_rules").TextHighlightRules;
var VerilogHighlightRules = function() {
var keywords = (
"always|and|assign|begin|case|casex|casez|cell|config|deassign|default|defparam|" +
"design|disable|edge|else|end|endcase|endconfig|endfunction|endgenerate|endmodule|" +
"endprimitive|endspecify|endtable|endtask|event|for|force|forever|fork|function|" +
"generate|genvar|if|ifnone|incdir|include|initial|inout|input|instance|integer|" +
"join|large|liblist|library|localparam|macromodule|medium|module|nand|negedge|" +
"nmos|nor|not|notif0|notif1|or|output|parameter|pmos|posedge|primitive|pull0|" +
"pull1|pulldown|pullup|rcmos|real|realtime|reg|release|repeat|rnmos|rpmos|" +
"rtran|rtranif0|rtranif1|scalared|small|specify|specparam|strong0|strong1|" +
"supply0|supply1|table|task|time|tran|tranif0|tranif1|tri|tri0|tri1|triand|" +
"trior|trireg|vectored|wait|wand|weak0|weak1|while|wire|wor|xnor|xor"
);
var storageType = (
"reg|wire|input|output|inout|parameter"
);
var storageModifier = (
"signed|unsigned"
);
var keywordMapper = this.createKeywordMapper({
"keyword": keywords,
"storage.type": storageType,
"storage.modifier": storageModifier
}, "identifier", true);
this.$rules = {
"start": [
{
token: "comment",
regex: "//.*$"
},
{
token: "comment.start",
regex: "/\\*",
next: "comment"
},
{
token: "string", // " string
regex: '".*?"'
},
{
token: "string", // ' string
regex: "'.*?'"
},
{
token: "constant.numeric", // float
regex: "[+-]?\\d+(?:(?:\\.\\d*)?(?:[eE][+-]?\\d+)?)?\\b"
},
{
token: "constant", // define
regex: "`\\w+"
},
{
token: keywordMapper,
regex: "[a-zA-Z_$][a-zA-Z0-9_$]*\\b"
},
{
token: "keyword.operator",
regex: "\\+|\\-|\\*|\\/|\\%|\\=|\\>|\\<|\\!|\\&|\\||\\~|\\^|\\?|\\:|\\,|\\."
},
{
token: "paren.lparen",
regex: "[\\(]"
},
{
token: "paren.rparen",
regex: "[\\)]"
},
{
token: "text",
regex: "\\s+"
}
],
"comment": [
{
token: "comment.end",
regex: "\\*/",
next: "start"
},
{
defaultToken: "comment"
}
]
};
};
oop.inherits(VerilogHighlightRules, TextHighlightRules);
exports.VerilogHighlightRules = VerilogHighlightRules;
});
```
6. 保存文件并重新启动ver2-ace-editor。
现在,你就可以在ver2-ace-editor中使用verilog语言的语法检测了。