module shizhong2( input wire clk , input wire rst_n , output wire clk_in,output reg [6:0] cnt_r ); parameter cnt_1us = 7'd100; always @(posedge clk or negedge rst_n) begin if (!rst_n) begin cnt_r <= 1'b0; end else if (cnt_r <= cnt_1us - 1'b1) begin cnt_r <= cnt_r + 1'b1; end else begin cnt_r <= 1'b0; end end assign clk_in = cnt_r; endmodule
时间: 2024-03-14 22:49:28 浏览: 71
这是一个类似于时钟分频器的 Verilog 模块,输入时钟信号 `clk` 和复位信号 `rst_n`,输出分频后的时钟信号 `clk_in` 和一个计数器 `cnt_r`。具体来说,该模块的计数器 `cnt_r` 在每个时钟上升沿时加 1,当计数器的值达到一个预设的值 `cnt_1us` 时,计数器清零,并将 `clk_in` 输出高电平。通过调整参数 `cnt_1us` 的值,可以实现不同的分频比。此外,该模块还将 `cnt_r` 的值暴露在模块外部,以便其他模块可以读取该计数器的值。
需要注意的是,在时钟分频器中,计数器必须是一个寄存器,否则分频比将无法正常工作。因此,在此模块中,计数器 `cnt_r` 被定义为一个寄存器。
在这个模块中,我们还使用了一个参数 `cnt_1us`,用于设置每个计数周期的时钟周期数。在本例中,我们将其设置为 100,即每个计数周期为 100 个时钟周期。因此,当输入时钟频率为 100 MHz 时,输出时钟频率将为 1 MHz。如果需要不同的分频比,可以调整该参数的值。
需要注意的是,由于我们在模块内部使用了一个寄存器,因此我们无法在模块的 `assign` 语句中直接将计数器的值赋给输出信号。因此,在此模块中,我们将计数器的值暴露在模块外部,并使用一个 `output reg` 语句将其定义为可读写的寄存器。然后,我们可以在 `assign` 语句中将计数器的值赋给输出信号 `clk_in`,从而实现输出分频后的时钟信号。
相关问题
[{"yusuan_jine":"2333","ming_cheng":"增加视频监控存储设备项目","zi_jin_lai_yuan":"yn","zhong_biao_shang":"测试单位","zhong_biao_shi_jian":"2023-04-09 14:00:07"},{"ming_cheng":"医疗器械清洗工作站(器械预处理)","zi_jin_lai_yuan":"yn","zhong_biao_shang":"测试单位","zhong_biao_shi_jian":"2023-05-12 00:00:00"},{"yusuan_jine":"3000","ming_cheng":"医用冷藏箱采购","zi_jin_lai_yuan":"yn","zhong_biao_shang":"测试单位","zhong_biao_shi_jian":"2023-05-26 16:54:23"},{"yusuan_jine":"200","ming_cheng":"服务器采购","zi_jin_lai_yuan":"yn","zhong_biao_shang":"江西云数","zhong_biao_shi_jian":"2023-05-21 00:00:00"},{"yusuan_jine":"20000","ming_cheng":"摄像头采购测试","zi_jin_lai_yuan":"yn","zhong_biao_shang":"江西云数","zhong_biao_shi_jian":"2023-05-25 00:00:00"},{"yusuan_jine":"3000","ming_cheng":"医用冷藏箱采购","zi_jin_lai_yuan":"yn","zhong_biao_shang":"江西云数","zhong_biao_shi_jian":"2023-05-25 00:00:00"},{"yusuan_jine":"2333","ming_cheng":"增加视频监控存储设备项目","zi_jin_lai_yuan":"yn","zhong_biao_shang":"保利香槟","zhong_biao_shi_jian":"2023-05-28 18:00:33"}] 用element-ui -table显示出来
好的,以下是使用 Element UI Table 显示给定数据的示例代码:
```html
<template>
<div>
<el-table :data="tableData">
<el-table-column prop="ming_cheng" label="项目名称"></el-table-column>
<el-table-column prop="yusuan_jine" label="预算金额"></el-table-column>
<el-table-column prop="zi_jin_lai_yuan" label="资金来源"></el-table-column>
<el-table-column prop="zhong_biao_shang" label="中标商"></el-table-column>
<el-table-column prop="zhong_biao_shi_jian" label="中标时间"></el-table-column>
</el-table>
</div>
</template>
<script>
export default {
data() {
return {
tableData: [
{
yusuan_jine: "2333",
ming_cheng: "增加视频监控存储设备项目",
zi_jin_lai_yuan: "yn",
zhong_biao_shang: "测试单位",
zhong_biao_shi_jian: "2023-04-09 14:00:07",
},
{
ming_cheng: "医疗器械清洗工作站(器械预处理)",
zi_jin_lai_yuan: "yn",
zhong_biao_shang: "测试单位",
zhong_biao_shi_jian: "2023-05-12 00:00:00",
},
{
yusuan_jine: "3000",
ming_cheng: "医用冷藏箱采购",
zi_jin_lai_yuan: "yn",
zhong_biao_shang: "测试单位",
zhong_biao_shi_jian: "2023-05-26 16:54:23",
},
{
yusuan_jine: "200",
ming_cheng: "服务器采购",
zi_jin_lai_yuan: "yn",
zhong_biao_shang: "江西云数",
zhong_biao_shi_jian: "2023-05-21 00:00:00",
},
{
yusuan_jine: "20000",
ming_cheng: "摄像头采购测试",
zi_jin_lai_yuan: "yn",
zhong_biao_shang: "江西云数",
zhong_biao_shi_jian: "2023-05-25 00:00:00",
},
{
yusuan_jine: "3000",
ming_cheng: "医用冷藏箱采购",
zi_jin_lai_yuan: "yn",
zhong_biao_shang: "江西云数",
zhong_biao_shi_jian: "2023-05-25 00:00:00",
},
{
yusuan_jine: "2333",
ming_cheng: "增加视频监控存储设备项目",
zi_jin_lai_yuan: "yn",
zhong_biao_shang: "保利香槟",
zhong_biao_shi_jian: "2023-05-28 18:00:33",
},
],
};
},
};
</script>
```
这段代码将给定的数据渲染成一个表格,表格包含五列:项目名称、预算金额、资金来源、中标商和中标时间。你可以将这段代码复制到你的 Vue.js 工程中,然后根据实际情况修改数据和列配置即可。
阅读全文