怎么解决这个问题:[Place 30-574] Poor placement for routing between an IO pin and BUFG. If this sub optimal condition is acceptable for this design, you may use the CLOCK_DEDICATED_ROUTE constraint in the .xdc file to demote this message to a WARNING. However, the use of this override is highly discouraged. These examples can be used directly in the .xdc file to override this clock rule. < set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets clk_IBUF] > clk_IBUF_inst (IBUF.O) is locked to IOB_X1Y97 and clk_IBUF_BUFG_inst (BUFG.I) is provisionally placed by clockplacer on BUFGCTRL_X0Y31
时间: 2024-02-26 22:52:25 浏览: 292
这个问题可以使用CLOCK_DEDICATED_ROUTE约束来解决,但是这种解决方法是不被建议的。更好的解决方法是通过重新布局设计来避免这个问题。如果无法避免,可以尝试重新放置IO引脚和BUFG以改善路由。如果不能解决问题,可以将此消息降级为警告,但需要注意使用CLOCK_DEDICATED_ROUTE约束的副作用,并尽可能避免使用这种覆盖。
相关问题
[Place 30-575] Sub-optimal placement for a clock-capable IO pin and MMCM pair. If this sub optimal condition is acceptable for this design, you may use the CLOCK_DEDICATED_ROUTE constraint in the .xdc file to demote this message to a WARNING. However, the use of this override is highly discouraged. These examples can be used directly in the .xdc file to override this clock rule. < set_property CLOCK_DEDICATED_ROUTE BACKBONE [get_nets sys_clk_IBUF] > sys_clk_IBUF_inst (IBUF.O) is locked to IOB_X1Y74 instance_name/inst/mmcm_adv_inst (MMCME2_ADV.CLKIN1) is provisionally placed by clockplacer on MMCME2_ADV_X1Y2 The above error could possibly be related to other connected instances. Following is a list of all the related clock rules and their respective instances. Clock Rule: rule_mmcm_bufg Status: PASS Rule Description: An MMCM driving a BUFG must be placed on the same half side (top/bottom) of the device instance_name/inst/mmcm_adv_inst (MMCME2_ADV.CLKFBOUT) is provisionally placed by clockplacer on MMCME2_ADV_X1Y2 and instance_name/inst/clkf_buf (BUFG.I) is provisionally placed by clockplacer on BUFGCTRL_X0Y31
Based on the provided information, it seems that there is a sub-optimal placement for a clock-capable IO pin and MMCM pair in your design. If this condition is acceptable, you can use the CLOCK_DEDICATED_ROUTE constraint in the .xdc file to demote the message to a WARNING. However, using this override is highly discouraged.
To override this clock rule, you can add the following line in the .xdc file:
```
set_property CLOCK_DEDICATED_ROUTE BACKBONE [get_nets sys_clk_IBUF]
```
Please note that this error could possibly be related to other connected instances. The related clock rule "rule_mmcm_bufg" seems to be passing, but it's important to review all the clock rules and their respective instances to ensure proper placement.
If you have any further questions or need additional assistance, feel free to ask.
阅读全文