foreach遍历二维数组
时间: 2023-09-21 14:08:02 浏览: 92
可以使用foreach遍历二维数组,代码如下:
```
int[,] array2D = new int[3, 2] { { 1, 2 }, { 3, 4 }, { 5, 6 } };
foreach (int i in array2D)
{
Console.Write(i + " ");
}
```
输出结果为:1 2 3 4 5 6
注意,使用foreach遍历二维数组时,是按照一维数组的方式遍历,即先遍历第一行,再遍历第二行,以此类推。
相关问题
使用foreach遍历二维数组
你好!
使用 `foreach` 遍历二维数组的方法是:
```
foreach ($array as $row) {
// $row 是一个一维数组,可以使用 foreach 或者其他方式遍历
foreach ($row as $item) {
// $item 是二维数组的元素
// 在此处使用 $item
}
}
```
例如,对于下面的二维数组:
```
$array = [
[1, 2, 3],
[4, 5, 6],
[7, 8, 9],
];
```
可以使用如下代码遍历:
```
foreach ($array as $row) {
foreach ($row as $item) {
echo $item;
}
}
```
输出结果是:123456789
希望这对你有帮助!
SV用foreach遍历二维数组
在SystemVerilog中,可以使用`foreach`循环来遍历二维数组。以下是一个使用`foreach`循环遍历二维数组的示例代码:
```systemverilog
module test;
reg [7:0] two_dimensional_array [3:0][3:0] = '{ '{8, 7, 6, 5}, '{4, 3, 2, 1}, '{0, 9, 8, 7}, '{6, 5, 4, 3} };
initial begin
foreach (two_dimensional_array[row]) begin
foreach (two_dimensional_array[row][col]) begin
$display("Value at [%0d][%0d]: %0d", row, col, two_dimensional_array[row][col]);
end
end
end
endmodule
```
在上面的代码中,我们定义了一个名为`two_dimensional_array`的二维数组,它是一个4x4的数组。`two_dimensional_array`中包含了一些随机的8位寄存器值。
在`initial`块中,我们使用两个嵌套的`foreach`循环来遍历二维数组。外部的`foreach (two_dimensional_array[row])`循环用于遍历一维数组的每一行。内部的`foreach (two_dimensional_array[row][col])`循环用于遍历一维数组中的每个元素。
在每次循环迭代时,我们使用`$display`系统任务打印出当前元素的行、列和值。
当我们运行这段代码时,它会输出如下内容:
```
Value at [0][0]: 8
Value at [0][1]: 7
Value at [0][2]: 6
Value at [0][3]: 5
Value at [1][0]: 4
Value at [1][1]: 3
Value at [1][2]: 2
Value at [1][3]: 1
Value at [2][0]: 0
Value at [2][1]: 9
Value at [2][2]: 8
Value at [2][3]: 7
Value at [3][0]: 6
Value at [3][1]: 5
Value at [3][2]: 4
Value at [3][3]: 3
```
这个例子演示了如何使用`foreach`循环遍历二维数组,并打印出每个元素的位置和值。希望对您有所帮助!如果您有其他问题,请随时提问。
阅读全文