CKD AX Tools for Windows 操作手册

需积分: 9 1 下载量 123 浏览量 更新于2024-07-14 收藏 2.52MB PDF 举报
"CKD DD AX Tools for Windows 是一款专为TS型、TH型、MU型和XS型驱动器设计的软件工具,适用于Windows操作系统。在使用前,用户必须详细阅读本手册,确保遵循安全指导,并了解针对不同驱动器类型的限制功能。手册包含介绍、操作环境、使用注意事项以及通用功能等多个部分,旨在帮助用户有效地使用和配置该软件。" 在"AXTools for Windows"的使用过程中,首先需要了解的是软件的【介绍】部分,它涵盖了软件的基本信息和目的。这部分(1-1 Introduction)会阐述软件的主要功能及其在CKD驱动器管理中的作用。接着,【操作环境】(1-2 Operating environment)将列出软件运行所需的硬件和软件配置要求,包括兼容的Windows版本和其他系统需求。 在使用软件前,用户必须遵循【使用注意事项】(1-3 Precautions for use)。其中,1-3-1 Directions提供了使用软件时的重要指导,而1-3-2 Example of RS-232C Interface Cable Connection Diagram则展示了如何正确连接串行通信接口电缆,这对于确保设备与计算机之间的通信至关重要。值得注意的是,如果用于除TS型、TH型、MU型和XS型之外的驱动器,某些功能可能会受到限制。 接下来,手册深入到软件的【总体概述】(Section 2 General outline)。这部分会详细介绍软件的整体结构,包括2-2-1 Entire configuration展示的应用程序整体配置布局,以及2-2-2 Application button、2-2-3 Quick access toolbar、2-2-4 Tab、2-2-5 Ribbon menu等用户界面元素的使用方法。此外,2-2-6 Help提供软件的帮助功能,而2-2-7 View button和2-2-8 View则涉及视图的调整和管理。 最后,【通用功能】(Section 3 Common function)部分将介绍软件中的各种工具组(3-1 Toolgroup),这些工具可能包括但不限于配置、诊断、监控和更新驱动器等功能,是用户日常操作中不可或缺的部分。通过这些工具,用户能够高效地管理和维护CKD驱动器,确保其稳定运行并优化性能。 "AX_Tools_Instruction_manual.pdf"是一个详尽的指南,为用户提供了使用CKD DD AX Tools for Windows所需的所有必要信息,包括安全操作、软件配置和功能利用,是有效管理CKD驱动器的关键参考资料。

*** Using Compiler '', folder: 'E:\Keil_v5\ARM\ARMCLANG\Bin' Build target 'Target 1' compiling core_cm3.c... *** Error: CreateProcess failed, Command: '"E:\Keil_v5\ARM\ARMCLANG\Bin\ArmCC" --via ".\objects\core_cm3.__i"' compiling system_stm32f10x.c... *** Error: CreateProcess failed, Command: '"E:\Keil_v5\ARM\ARMCLANG\Bin\ArmCC" --via ".\objects\system_stm32f10x.__i"' compiling misc.c... *** Error: CreateProcess failed, Command: '"E:\Keil_v5\ARM\ARMCLANG\Bin\ArmCC" --via ".\objects\misc.__i"' compiling stm32f10x_bkp.c... *** Error: CreateProcess failed, Command: '"E:\Keil_v5\ARM\ARMCLANG\Bin\ArmCC" --via ".\objects\stm32f10x_bkp.__i"' compiling stm32f10x_adc.c... *** Error: CreateProcess failed, Command: '"E:\Keil_v5\ARM\ARMCLANG\Bin\ArmCC" --via ".\objects\stm32f10x_adc.__i"' compiling stm32f10x_can.c... *** Error: CreateProcess failed, Command: '"E:\Keil_v5\ARM\ARMCLANG\Bin\ArmCC" --via ".\objects\stm32f10x_can.__i"' compiling stm32f10x_cec.c... *** Error: CreateProcess failed, Command: '"E:\Keil_v5\ARM\ARMCLANG\Bin\ArmCC" --via ".\objects\stm32f10x_cec.__i"' compiling stm32f10x_crc.c... *** Error: CreateProcess failed, Command: '"E:\Keil_v5\ARM\ARMCLANG\Bin\ArmCC" --via ".\objects\stm32f10x_crc.__i"' linking... .\Objects\test.axf: Error: L6967E: Entry point (0x08000000) points to a Thumb instruction but is not a valid Thumb code pointer. Finished: 0 information, 0 warning and 1 error messages. ".\Objects\test.axf" - 1 Error(s), 0 Warning(s). Target not created. Build Time Elapsed: 00:00:00

2023-07-14 上传

请逐行注释下面的代码:class riscv_instr_base_test extends uvm_test; riscv_instr_gen_config cfg; string test_opts; string asm_file_name = "riscv_asm_test"; riscv_asm_program_gen asm_gen; string instr_seq; int start_idx; uvm_coreservice_t coreservice; uvm_factory factory; uvm_component_utils(riscv_instr_base_test) function new(string name="", uvm_component parent=null); super.new(name, parent); void'($value$plusargs("asm_file_name=%0s", asm_file_name)); void'($value$plusargs("start_idx=%0d", start_idx)); endfunction virtual function void build_phase(uvm_phase phase); super.build_phase(phase); coreservice = uvm_coreservice_t::get(); factory = coreservice.get_factory(); uvm_info(gfn, "Create configuration instance", UVM_LOW) cfg = riscv_instr_gen_config::type_id::create("cfg"); uvm_info(gfn, "Create configuration instance...done", UVM_LOW) uvm_config_db#(riscv_instr_gen_config)::set(null, "*", "instr_cfg", cfg); if(cfg.asm_test_suffix != "") asm_file_name = {asm_file_name, ".", cfg.asm_test_suffix}; // Override the default riscv instruction sequence if($value$plusargs("instr_seq=%0s", instr_seq)) begin factory.set_type_override_by_name("riscv_instr_sequence", instr_seq); end if (riscv_instr_pkg::support_debug_mode) begin factory.set_inst_override_by_name("riscv_asm_program_gen", "riscv_debug_rom_gen", {gfn, ".asm_gen.debug_rom"}); end endfunction function void report_phase(uvm_phase phase); uvm_report_server rs; int error_count; rs = uvm_report_server::get_server(); error_count = rs.get_severity_count(UVM_WARNING) + rs.get_severity_count(UVM_ERROR) + rs.get_severity_count(UVM_FATAL); if (error_count == 0) begin uvm_info("", "TEST PASSED", UVM_NONE); end else begin uvm_info("", "TEST FAILED", UVM_NONE); end uvm_info("", "TEST GENERATION DONE", UVM_NONE); super.report_phase(phase); endfunction virtual function void apply_directed_instr(); endfunction task run_phase(uvm_phase phase); int fd; for(int i = 0; i < cfg.num_of_tests; i++) begin string test_name; randomize_cfg(); riscv_instr::create_instr_list(cfg); riscv_csr_instr::create_csr_filter(cfg); asm_gen = riscv_asm_program_gen::type_id::create("asm_gen", , gfn); asm_gen.cfg = cfg; asm_gen.get_directed_instr_stream(); test_name = $sformatf("%0s_%0d.S", asm_file_name, i+start_idx); apply_directed_instr(); uvm_info(gfn, "All directed instruction is applied", UVM_LOW) asm_gen.gen_program(); asm_gen.gen_test_file(test_name); end endtask virtual function void randomize_cfg(); DV_CHECK_RANDOMIZE_FATAL(cfg); uvm_info(`gfn, $sformatf("riscv_instr_gen_config is randomized:\n%0s", cfg.sprint()), UVM_LOW) endfunction endclass

2023-05-24 上传