Cadence irun User Guide v15.1 - 混合语言模拟

需积分: 23 7 下载量 34 浏览量 更新于2024-07-07 收藏 1.04MB PDF 举报
"irun User Guide for Product Version 15.1" irun是Cadence Design Systems公司的一款重要的EDA工具,主要应用于电子设计自动化(EDA)领域。EDA是集成电路设计的关键技术,它集成了计算机辅助设计、半导体物理以及系统工程等多个学科,旨在帮助工程师高效地完成复杂的电路和系统设计。irun作为Cadence的模拟器,主要用于IC设计中的验证工作,它支持SystemC等混合语言仿真,允许用户在硬件描述语言(HDL)如Verilog或VHDL中与SystemC代码进行交互。 在irun User Guide中,用户可以找到关于如何有效利用这款软件进行设计验证的详细步骤和技巧。该文档适用于版本15.1,发布于2015年7月,涵盖了一系列的使用指南、操作流程和可能遇到的问题解决方案。其中可能包括如何设置仿真环境、编写和调用仿真脚本、运行测试平台、分析仿真结果等内容。 特别指出,irun中包含了NC-SIM的技术,这是从Free Software Foundation、University of California、Sun Microsystems, Inc.以及Scriptics Corporation等机构获得许可的技术。NC-SIM是Cadence的一款高级模拟器,能够处理复杂的数字逻辑设计。同时,irun还支持SystemC的混合语言仿真,SystemC是一种广泛用于系统级设计的C++类库,其相关的知识产权归Open System C Initiative所有。 此外,文档中提及的专利号7424703可能与irun的SystemC/HDL混合语言模拟功能有关,这项技术使得设计者可以在同一个环境中同时处理硬件描述语言和系统级编程语言,极大地提高了设计效率和准确性。 irun User Guide是集成电路设计工程师的重要参考资料,它提供了一整套使用irun进行设计验证的方法和最佳实践,对于理解并熟练掌握Cadence的EDA工具irun,以及提升IC设计验证的效率具有极大的帮助。用户应根据具体的设计需求,结合文档中的指导,逐步学习和应用irun的各项功能。