Verilog实现模60的8421 BCD计数器与仿真测试

共68个文件
log:8个
wdf:4个
txt:4个
需积分: 3 0 下载量 117 浏览量 更新于2024-09-27 1 收藏 65KB 7Z 举报
身份认证 购VIP最低享 7 折!
30元优惠券