ncverilog 仿真详解
发表在 ASIC/FPGA/汇编, 学习笔记, 编程开发 | 由 阿布 | 十一月 26, 2011 | 0
标签: ncverilog, 仿真
数位 IC 工具简介——Simulator 工具
ModelSim
ModelSim 是 Mentor 公司所推出的软体, 主要用来当作 VHDL 的模拟器, 也是目前
CIC 在 VHDL 方面的主要的模拟 软体;但 ModelSim 不仅支援 VHDL 的模拟,同样也可用
来当 Verilog 的模拟器, 更进一步的, ModelSim 也支援 VHD&Verilog 的混合模拟, 这对
於单晶片系统(SoC)的发展上, 矽智产(IP)是来源来自不同的地方 , 有些矽智产是采用
VHDL 描述, 有些是 Verilog 描述, 因此这是不可或缺的功能. 所以 CIC 引进 ModelSim
这一套软体.
NCSim
NC-SIM 为 Cadence 公司之 VHDL 与 Verilog 混合模拟的模拟器 (simulator),可以
帮助 IC 设计者验证及模拟其所 用 VHDL 与 Verilog 混合计设的 IC 功能.
NCVerilog
NC-Verilog 为 Cadence 公司之 Verilog 硬体描述语言模拟器 (simulator),可以帮
助 IC 设计者验证及模拟所设计 IC 的功能.使用 NC-Verilog 软体,使用者必须使用 Verilog
硬体描述语言的语法来描述所要设计的电路.
VCS
VCS 为 Synopsys 公司之 Verilog 硬体描述语言模拟器 (simulator),可以帮助 IC 设
计者验证及模拟所设计 IC 的 功能.使用 VCS 软体,使用者必须使用 Verilog 硬体描述语言
的语法来描述所要设计的电路.
ncverilog 使用
ncverilog 是 shell 版的,nclaunch 是以图形界面为基础的,二者调用相同内核;
ncverilog 的执行有三步模式和单步模式,在 nclaunch 中对应 multiple step 和 single
step ncverilog 的三步模式为:ncvlog(编译) ncelab(建立 snapshot 文件) ncsim(对
snapshot 文件进行仿真)
基于 shell 的 ncverilog 操作(尤其是单步模式)更适合于大批量操作
ncverilog 的波形查看配套软件是 simvision,其中包含原理图、波形、信号流等查看方
式
三命令模式:
ncvlog -f run.f
ncelab tb -access wrc
ncsim tb -gui 第一个命令中,run.f 是整个的 RTL 代码的列表,值得注意的是,我们需
要把 tb 文件放在首位,这样可以避免出现提示 timescale 的错误
注意:ncvlog 执行以后将产生一个名为 INCA_libs 的目录和一个名为 worklib 的目录
第二个命令中,access 选项是确定读取文件的权限。其中的 tb 是你的 tb 文件内的模块
名字。
注意:ncelab 要选择 tb 文件的 module,会在 snapshot 文件夹下生成 snapshot 的
评论0