没有合适的资源?快使用搜索试试~ 我知道了~
首页vivado tcl 命令手册
vivado tcl 命令手册
需积分: 48 79 下载量 142 浏览量
更新于2023-03-16
评论 7
收藏 10.01MB PDF 举报
vivado中tcl工具的官方手册,包括tcl中用到的所有命令,方便大家查阅
资源详情
资源评论
资源推荐
Revision History
The following table shows the revision history for this document:
Section
Revision Summary
12/5/2018 v2018.3
add_hw_hbm_pc, create_bd_intf_tlm_port, create_bd_tlm_port,
create_dashboard_gadget, delete_dashboard_gadgets, get_dashboard_gadgets,
move_dashboard_gadget, open_dsa, pause_hw_hbm_amon, pr_recombine,
pr_subdivide, remove_hw_hbm_pc, report_bd_diffs, reset_runs,
resume_hw_hbm_amon, run_hw_hbm_amon, stop_hw_hbm_amon, write_dsa
Commands Added in 2018.3
create_bd_cell, create_ip, create_waiver, current_time, get_bd_designs,
get_speed_models, get_waivers, group_path, iphys_opt_design, link_design,
open_checkpoint, open_wave_database, opt_design, phys_opt_design,
read_iphys_opt_tcl, report_bus_skew, report_clock_utilization,
report_design_analysis, report_ip_status, report_methodology, report_power,
report_qor_suggestions, report_timing, report_timing_summary, save_project_as,
update_hw_firmware, write_checkpoint, write_iphys_opt_tcl, write_ip_tcl,
write_waivers, xsim
Commands Modified in 2018.3
06/06/2018 v2018.2
create_bd_intf_tlm_port, create_bd_tlm_port, implement_xphy_cores Commands Added in 2018.2
config_ip_cache, export_ip_user_files, export_simulation,
make_bd_intf_pins_external, make_bd_pins_external, place_design,
report_pipeline_analysis, report_qor_suggestions, report_timing,
report_timing_summary, report_utilization, update_hw_firmware,
validate_bd_design, write_bd_tcl, write_sdf
Commands Modified in 2018.2
04/04/2018 v2018.1
commit_hw_hbm, create_gui_custom_command,
create_gui_custom_command_arg, create_port_on_reconfigurable_module,
create_rqs_run, current_dashboard, generate_platform, get_dashboards,
get_gui_custom_command_args, get_gui_custom_commands, get_hw_hbms,
refresh_hw_hbm, refresh_meminit, remove_gui_custom_command_args,
remove_gui_custom_commands, write_ip_tcl
Commands Added in 2018.1
check_timing, config_ip_cache, create_hw_axi_txn, create_slack_histogram,
create_waiver, delete_waivers, get_files, get_filesets, get_pblocks,
get_reconfig_modules, get_runs, get_waivers, group_path, log_wave,
open_hw_target, opt_design, phys_opt_design, pr_verify, program_hw_devices,
read_checkpoint, refresh_hw_device, refresh_hw_server, refresh_hw_target,
report_bus_skew, report_carry_chains, report_cdc, report_clock_interaction,
report_compile_order, report_debug_core, report_design_analysis, report_drc,
report_exceptions, report_methodology, report_pulse_width, report_route_status,
report_timing, report_timing_summary, report_utilization, report_waivers,
route_design, synth_design, upgrade_ip, write_bd_tcl, write_checkpoint,
write_dsa_rom, write_waivers, write_xdc, xsim
Commands Modified in 2018.1
Revision History
UG835 (v2018.3) December 5, 2018 www.xilinx.com
Tcl Command Reference Guide 2
Send Feedback
Chapter 1
Introduction
Overview of Tcl Capabilities in Vivado
The Tool Command Language (Tcl) is the scripng language integrated in the Vivado
®
tool
environment. Tcl is a standard language in the semiconductor industry for applicaon
programming interfaces, and is used by Synopsys
®
Design Constraints (SDC).
SDC is the mechanism for communicang ming constraints for FPGA synthesis tools from
Synopsys Synplify as well as other vendors, and is a ming constraint industry standard;
consequently, the Tcl infrastructure is a “Best Pracce” for scripng language.
Tcl lets you perform interacve queries to design tools in addion to execung automated
scripts. Tcl oers the ability to “ask” quesons interacvely of design databases, parcularly
around tool and design sengs and state. Examples are: querying specic ming analysis
reporng commands live, applying incremental constraints, and performing queries immediately
aer to verify expected behavior without re-running any tool steps.
The following secons describe some of the basic capabilies of Tcl with Vivado.
Note: This manual is not a comprehensive reference for the Tcl language. It is a reference to the specic
capabilies of the Vivado Design Suite Tcl shell, and provides reference to addional Tcl programming
resources.
Launching the Vivado Design Suite
You can launch the Vivado Design Suite and run the tools using dierent methods depending on
your preference. For example, you can choose a Tcl script-based compilaon style method in
which you manage sources and the design process yourself, also known as Non-Project Mode.
Alternavely, you can use a project-based method to automacally manage your design process
and design data using projects and project states, also known as Project Mode. Either of these
methods can be run using a Tcl scripted batch mode or run interacvely in the Vivado IDE. For
more informaon on the dierent design ow modes, see the Vivado Design Suite User Guide:
Design Flows Overview (UG892).
UG835 (v2018.3) December 5, 2018 www.xilinx.com
Tcl Command Reference Guide 3
Send Feedback
Tcl Shell Mode
If you prefer to work directly with Tcl commands, you can interact with your design using Tcl
commands with one of the following methods:
• Enter individual Tcl commands in the Vivado Design Suite Tcl shell outside of the Vivado IDE.
• Enter individual Tcl commands in the Tcl Console at the boom of the Vivado IDE.
• Run Tcl scripts from the Vivado Design Suite Tcl shell.
•
Run Tcl scripts from the Vivado IDE.
Use the following command to invoke the Vivado Design Suite Tcl shell either at the Linux
command prompt or within a Windows Command Prompt window:
vivado -mode tcl
TIP: On Windows, you can also select Start → All Programs → Xilinx Design Tools → Vivado yyyy.x →
Vivado yyyy.x Tcl Shell, where “yyyy.x” is the installed version of Vivado.
For more informaon about using Tcl and Tcl scripng, see the Vivado Design Suite User Guide:
Using the Tcl Scripng Capabilies (UG894). For a step-by-step tutorial that shows how to use Tcl
in the Vivado tool, see the Vivado Design Suite Tutorial: Design Flows Overview (UG888).
Tcl Batch Mode
You can use the Vivado tools in batch mode by supplying a Tcl script when invoking the tool. Use
the following command either at the Linux command prompt or within a Windows Command
Prompt window:
vivado -mode batch -source
<your_Tcl_script>
The Vivado Design Suite Tcl shell will open, run the specied Tcl script, and exit when the script
completes. In batch mode, you can queue up a series of Tcl scripts to process a number of
designs overnight through synthesis, simulaon, and implementaon, and review the results on
the following morning.
Chapter 1: Introduction
UG835 (v2018.3) December 5, 2018 www.xilinx.com
Tcl Command Reference Guide 4
Send Feedback
Vivado IDE Mode
You can launch the Vivado Design Suite and run the tools using dierent methods depending on
your preference. For example, you can choose a Tcl script-based compilaon style method in
which you manage sources and the design process yourself, also known as Non-Project Mode.
Alternavely, you can use a project-based method to automacally manage your design process
and design data using projects and project states, also known as Project Mode. Either of these
methods can be run using a Tcl scripted batch mode or run interacvely in the Vivado IDE. For
more informaon on the dierent design ow modes, see the Vivado Design Suite User Guide:
Design Flows Overview (UG892).
If you prefer to work in a GUI, you can launch the Vivado IDE from Windows or Linux. For more
informaon on the Vivado IDE, see the Vivado Design Suite User Guide: Using the Vivado IDE
(UG893).
Launch the Vivado IDE from your working directory. By default the Vivado journal and log les,
and any generated report les, are wrien to the directory from which the Vivado tool is
launched. This makes it easier to locate the project le, log les, and journal les, which are
wrien to the launch directory.
In the Windows OS, select Start → All Programs → Xilinx Design Tools → Vivado yyyy.x → Vivado
yyyy.x Tcl Shell, where “yyyy.x” is the installed version of Vivado.
TIP
: You can also double-click the Vivado IDE shortcut icon on your Windows desktop.
In the Linux OS, enter the following command at the command prompt:
vivado -or- vivado -mode gui
If you need help, with the Vivado tool command line executable, type:
vivado -help
If you are running the Vivado tool from the Vivado Design Suite Tcl shell, you can open the
Vivado IDE directly from the Tcl shell by using the start_gui command.
From the Vivado IDE, you can close the Vivado IDE and return to a Vivado Tcl shell by using the
stop_gui command.
Tcl Journal Files
When you invoke the Vivado tool, it writes the vivado.log le to record the various
commands and operaons performed during the design session. The Vivado tool also writes a le
called vivado.jou which is a journal of just the Tcl commands run during the session. The
journal le can be used as a source to create new Tcl scripts.
Chapter 1: Introduction
UG835 (v2018.3) December 5, 2018 www.xilinx.com
Tcl Command Reference Guide 5
Send Feedback
剩余1841页未读,继续阅读
lcyapi
- 粉丝: 4
- 资源: 1
上传资源 快速赚钱
- 我的内容管理 收起
- 我的资源 快来上传第一个资源
- 我的收益 登录查看自己的收益
- 我的积分 登录查看自己的积分
- 我的C币 登录后查看C币余额
- 我的收藏
- 我的下载
- 下载帮助
会员权益专享
最新资源
- c++校园超市商品信息管理系统课程设计说明书(含源代码) (2).pdf
- 建筑供配电系统相关课件.pptx
- 企业管理规章制度及管理模式.doc
- vb打开摄像头.doc
- 云计算-可信计算中认证协议改进方案.pdf
- [详细完整版]单片机编程4.ppt
- c语言常用算法.pdf
- c++经典程序代码大全.pdf
- 单片机数字时钟资料.doc
- 11项目管理前沿1.0.pptx
- 基于ssm的“魅力”繁峙宣传网站的设计与实现论文.doc
- 智慧交通综合解决方案.pptx
- 建筑防潮设计-PowerPointPresentati.pptx
- SPC统计过程控制程序.pptx
- SPC统计方法基础知识.pptx
- MW全能培训汽轮机调节保安系统PPT教学课件.pptx
资源上传下载、课程学习等过程中有任何疑问或建议,欢迎提出宝贵意见哦~我们会及时处理!
点击此处反馈
安全验证
文档复制为VIP权益,开通VIP直接复制
信息提交成功
评论0