没有合适的资源?快使用搜索试试~ 我知道了~
首页基于CPLD的数字跑表课程设计
EDA(Electronic Design Automation)电子设计自动化技术作为现代电子技术的核心,它依赖功能强大的计算机,在EDA工具软件平台上,对以硬件描述语言HDL为系统逻辑描述手段完成的设计文件,自动完成逻辑编译,逻辑化简,逻辑分割,逻辑综合,结构综合,以及逻辑优化和仿真测试,直至实现既定的电子线路系统功能。EDA技术使得设计者的工作仅限于利用软件的方式,即利用既定描述语言和EDA软件来完成对系统硬件功能的实现。不难理解,EDA技术已不是某一学科的分支,或某种新的技能技术,它应该是一综合性学科,它融合多学科于一体,又渗透于各学科之中,它打破了软件和硬件间的壁垒,使计算机的软件技术与硬件实现、设计效率和产品性能合二为一,它代表了电子设计技术和应用技术的发展方向。CPLD即复杂可编程逻辑器件,早期CPLD是从GAL的结构扩展而来,但针对GAL的缺点进行了改进,因此可用于各种现实生活中的应用,比如说本次课程设计数字跑表。
资源详情
资源评论
资源推荐

湖南工程学院
课 程 设 计
课程名称 嵌入式系统
课题名称 基于
CPLD
的数字跑表
专 业 电子信息工程
班 级 电子信息
0781
学 号
姓 名
指导教师
2010 年 12 月 23 日

目 录
第一章 引言 …………………………………………...1
第二章 单元模块设计与仿真 ………………………….2
2.1 顶层文件的编写…………………………………....2
2.2 总波形图…………………………………………....4
2.3 300000 分 频 模 块
FENPIN……………………….....5
2.4 10 进制计数模块 SHI……………………………...6
2.5 60 进 制 计 数 模 块
SECOND………………………….7
2.6 防抖动模块 FANG…………………………………..9
2.7 启停模块 QT………………………………………..10
2.8 与门模块 AND………………………………………12
2.9 非门模块 NOT……………………………...……….13
2.10 七段译码显示 XIANSHI……………………………14
心得体会………………………………………………....18
1

第一章 引言
20 世纪 90 年代,国际上电子和计算机技术较先进的国家,一直在积极探索新
的电子电路设计方法,并在设计方法、工具等方面进行了彻底的变革,取得了巨大
成功。在电子技术设计领域,可编程逻辑器件(如 CPLD、FPGA)的应用,已得到
广泛的普及,这些器件为数字系统的设计带来了极大的灵活性。这些器件可以通过
软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件
设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设计过程和设
计观念,促进了 EDA 技术的迅速发展。
EDA 技术就是以计算机为工具,设计者在 EDA 软件平台上,用硬件描述语言
HDL 完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、
布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工
作。EDA 技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的
劳动强度。
EDA(Electronic Design Automation)电子设计自动化技术作为现代电子技术的核
心,它依赖功能强大的计算机,在 EDA 工具软件平台上,对以硬件描述语言 HDL 为
系统逻辑描述手段完成的设计文件,自动完成逻辑编译,逻辑化简,逻辑分割,逻辑
综合,结构综合,以及逻辑优化和仿真测试,直至实现既定的电子线路系统功能 。
EDA 技术使得设计者的工作仅限于利用软件的方式,即利用既定描述语言和 EDA 软件
来完成对系统硬件功能的实现。不难理解,EDA 技术已不是某一学科的分支,或某种
新的技能技术,它应该是一综合性学科,它融合多学科于一体,又渗透于各学科之中 ,
它打破了软件和硬件间的壁垒,使计算机的软件技术与硬件实现、设计效率和产品性
能合二为一,它代表了电子设计技术和应用技术的发展方向。CPLD 即复杂可编程逻辑
器件,早期 CPLD 是从 GAL 的结构扩展而来,但针对 GAL 的缺点进行了改进,因此
可用于各种现实生活中的应用,比如说本次课程设计数字跑表。
2

第二章 单元模块设计与仿真
2.1、顶层文件的编写:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity watch is
port(clk1,clr0,clky,q0:in std_logic;
q:out std_logic_vector(6 downto 0);
dp:out std_logic;
d: out std_logic_vector(7 downto 0) );
end watch;
architecture behave of watch is
component fen
port(clk:in std_logic;
c:out std_logic);
end component;
component shi
port(clk,clr:in std_logic;
shi0:out std_logic_vector(3 downto 0);
co:out std_logic);
end component;
component miao
3

port(clr,clk,en:in std_logic;
sec0,sec1:out std_logic_vector(3 downto 0);
co:out std_logic);
end component;
component and2a
port(a,b:in std_logic;
c:out std_logic);
end component ;
component not2a
port(a:in std_logic;
c:out std_logic);
end component ;
component dou
port(din,clk:in std_logic;
dout:out std_logic);
end component;
component aab
port(a,clk,clr:in std_logic;
q:out std_logic);
end component;
component bbc
port(
sel:in std_logic_vector(2 downto 0);
q:out std_logic_vector(3 downto 0));
end component;
component display
PORT(CLK:IN STD_LOGIC;
a1,a2,a3,a4,b1:IN STD_LOGIC_VECTOR(3 DOWNTO 0);
dp:OUT STD_LOGIC;
d:OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
q:OUT STD_LOGIC_VECTOR(6 DOWNTO 0));
end component;
signal x,d1,e,m,n,h,t: std_logic_vector(3 downto 0);
signal y,k,clk2,clk0,w,j,r,z:std_logic;
begin
u1:fen port map(clk=>clk1,c=>clk2);
4
剩余20页未读,继续阅读


















安全验证
文档复制为VIP权益,开通VIP直接复制

评论0