没有合适的资源?快使用搜索试试~ 我知道了~
首页交通灯东西方向绿灯南北方向红灯
交通灯东西方向绿灯南北方向红灯

交通灯交通灯 基本要求 (1)用两组红、黄、绿三色灯作为两个方向的红、黄、绿灯; (2)用两组数码管作为东西和南北方向的倒计时显示,显示时间为红灯45秒、绿灯50秒、黄灯5秒; (3)按照实际红绿灯规则,使红、黄、绿灯与其显示时间
资源详情
资源评论
资源推荐

---------------------------交通灯控制器设计?-------led 显示规律:东西方向绿灯,而
南北方向红灯
---------------------------——东西方向绿灯灭,黄灯亮,南北方向仍然红灯
---------------------------——//南北方向绿灯,而东西方向红灯。。。。。。//
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
entity jiaotongLED is
generic(-----------------------------------定义灯亮的时间
east_green_cnt:integer:=40;------------东西方向主干道绿灯
east_yellow_cnt:integer:=5;------------东西方向主干道黄灯
south_green_cnt:integer:=40;-----------南北方向支干道绿灯
south_yellow_cnt:integer:=5;-----------南北方向支干道黄灯
exi_cnt:integer:=120);-----------------紧急车辆通行时间
port(clk:in std_logic;
rst:in std_logic;----------------------复位信号
exi_sign:in std_logic;-----------------紧急车辆信号
east_green_led:out std_logic;
east_yellow_led:out std_logic;
east_red_led:out std_logic;
south_green_led:out std_logic;
south_yellow_led:out std_logic;
south_red_led:out std_logic);
end jiaotongLED;
------------------------------------
architecture ex of jiaotongLED is


















安全验证
文档复制为VIP权益,开通VIP直接复制

评论3