没有合适的资源?快使用搜索试试~ 我知道了~
首页EDA技术及实例开发教程 陈炳权主编 湘潭大学出版社 课后习题答案
EDA技术及实例开发教程 陈炳权主编 湘潭大学出版社 课后习题答案
需积分: 10 169 浏览量
更新于2023-05-26
评论
收藏 112KB PDF 举报
EDA技术及实例开发教程 陈炳权主编 湘潭大学出版社 课后习题答案 2013年出版
资源详情
资源评论
资源推荐

EDA
7
1.EDA
EDA Electronic Design Automation
2. EDA EDA
EDA EDA
IES/ASIC
3. EDA
EDA
4.
: (A)
(1) VHDL
(2) (RegisterTransport Level RTL)
(3) RTL ( )
(4) (ASIC ) FPGA
(B) netlist
file cdl, spice, aucdl...
5. EDA
?
: EDA 4

6. EDA EDA
: 1 EDA Altera MAX+plus II Quartus II
Lattice ispEXPERT Xilinx Foundation Series
2Max+plus II A1tera EDA
VHDL Verilog EDIF
Max+plus II Edif VHDL Verilog
3 Max+plus II EDA
EDA APEx20K A1tera FPGA
CPLD
Quartus II A1tera EDA VHDL
Verilog VHDL Verilog Leonardo
SpectrumSynplify pro FPGA Compiler II Quartus II
VHDL/Verilog
Quartus II Modelsim Quartus II A1tera
DSP MA TLAB DSP Builder
FPGA DSP DSP EDA Quartus II
SOPC Builder SOPC
ispExPERT Lattice VHDL Verilog ABEL
ispExPERT EDA
EDA
Foundation Series Xilinx EDA
Foundation Xilinx Synopsys
FPGA Express EDA
7. EDA
EDA

EDA
EDA
VHDL
1 (ENTITY) ARCHITECTURE
2
VHDL
RETURN
RETURN
3
1 ?
2 ?
3 ?
4 VHDL
VHDL
VHDL
5. BLOCK BLOCK 3
BLOCK VHD
BLOCK
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY triple_input IS
PORT (A :IN STD_LOGIC;
B :IN STD_LOGIC;

C :IN STD_LOGIC;
OUTA :OUT STD_LOGIC
);
END triple_input;
ARCHITECTURE ADO OF triple_input IS
BEGIN
OUTA<= A AND B AND C;
END ADO;
6.
PROCEDURE) FUNCTION)
7 VHDL 16#0FA#10#12F#8#789#
8#356#2#0101010#74HC245 CLR/RESET D100%
1 2
116#0FA# # 10#12F#8#789# 8#356#
2#0101010#74HC245
2\74HC574\,CLR/RESET,\IN4/SCLK\,D100% ,
8
VHDL VHDL
architecture packageentitiy
processfunction procedure processfunction procedur

VHDL
9.
AGE function CONV_INTEGER(ARG:AGE)
return INTEGER +
SIGNAL a c : AGE
...
c <= a + 20
function + (L : AGE, R: integer) return AGE is
Begin
return CONV_AGE(L + CONV_INTEGER(R));
End;
10 16 2 16 A=[A15 A0] B=[B15 B0]
DEF A=B D=1 A>B E=1 A<B F=1
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity compare is
port(a: in std_logic_vector(3 downto 0);
b: in std_logic_vector(3 downto 0);
X,Y ,Z: out std_logic);
end compare;
architecture behave of compare is
begin
process(a,b)
begin
if (a > b) then
X <='1';
Y <='0';
Z <='0';
elsif(a < b) then
X <='0';
Y <='1';
Z <='0';
ELSE
X <='0';
Y <='0';
Z <='1';
end if;
剩余23页未读,继续阅读










安全验证
文档复制为VIP权益,开通VIP直接复制

评论0