ispLEVER:莱迪思可编程逻辑设计软件教程

5星 · 超过95%的资源 需积分: 9 32 下载量 64 浏览量 更新于2024-07-25 1 收藏 6.78MB DOC 举报
"ispLEVER教程" ispLEVER是一款由Lattice公司开发的电子设计自动化(EDA)软件,主要用于设计可编程逻辑产品。该软件提供了多种设计输入方式,包括原理图、硬件描述语言(如ABEL-HDL、VHDL、Verilog-HDL)以及混合输入,能满足不同设计师的需求。ispLEVER的一大特色是其功能强大的编译器,它能够执行逻辑优化,将设计的逻辑映射到具体的Lattice器件中,并自动完成布局和布线工作,最终生成用于器件编程的熔丝图文件。 ispLEVER不仅支持传统的ispLSI、MACH、ispGDX、ispGAL、GAL等器件,还兼容Lattice的新产品系列如ispXPGATM和ispXPLDTM。它集成了ORCA Foundry设计工具的特点,使得设计者无需学习新工具就能设计ORCA FPGA/FPSC系列和Lattice的领先CPLD产品。 软件中的Constraints Editor工具是一个图形用户界面,允许设计者方便地选择I/O设置和分配引脚,增强了设计的灵活性和定制性。同时,ispLEVER还整合了Synolicity公司的Synplify综合工具和Lattice的ispVM器件编程工具,进一步完善了设计流程。 在设计验证方面,ispLEVER提供了功能仿真和时序仿真的能力,确保设计在实际运行前满足性能要求。通过功能模拟,设计者可以检查逻辑功能的正确性;时序模拟则用于评估设计在实际时钟速度下的行为,确保满足实时性需求。 在学习和使用ispLEVER的过程中,设计师可以从以下几个方面深入掌握: 1. 学习各种输入方法,理解它们的优势和适用场景。 2. 掌握编译器的使用,包括逻辑优化、映射和布局布线过程。 3. 熟悉Constraints Editor,了解如何有效地配置I/O和引脚分配。 4. 精通VHDL和Verilog-HDL语言在ispLEVER环境下的设计方法,以便于混合输入设计。 5. 学会使用ispVMSystem进行在系统编程(ISP),这是将设计加载到实际硬件上的关键步骤。 6. 探索约束条件编辑器的使用,以确保设计满足特定的系统要求。 通过附录中的ispLEVER System上机实习题,设计者可以实践操作,加深理解和应用。同时,了解软件中不同文件名后缀的含义,有助于更好地管理和组织设计文件。 ispLEVER的全面性和易用性使其成为Lattice器件设计者的理想工具,无论你是新手还是经验丰富的设计师,都能通过ispLEVER实现高效且高质量的可编程逻辑设计。