CORDIC算法在FPGA上实现的平方根研究与性能优化

需积分: 50 30 下载量 164 浏览量 更新于2024-09-09 1 收藏 416KB PDF 举报
该篇论文深入探讨了基于CORDIC算法在求解平方根问题上的研究以及其在FPGA实现中的应用。作者刘荣华和李艳萍针对现代数字信号处理中常见的运算如加减乘除,指出虽然开平方运算不似这些基本运算那样广泛使用,但在三角学、二次方程求解、数值分析、概率统计和图像处理等实际工程中,它扮演着关键角色。CORDIC算法因其适合硬件并行性和低资源消耗,被选作本文研究的重点。 CORDIC(Coordinate Rotation Digital Computer)算法,是一种通过连续的微小角度旋转和逐次相加实现复数或向量运算的方法,特别适用于在有限位数的数字硬件中高效地进行高精度计算。论文着重讨论了如何选择合适的初始向量以优化算法性能,并对比了定点和浮点计算在精度和资源占用上的差异。 为了将CORDIC算法应用于FPGA,作者提出了两种模型:一种是流水线结构的设计,这种设计能够利用FPGA的并行特性,提高计算速度;另一种则是基于Xilinx公司的CORDIC Square Root IP核的实现,这是一种成熟的商业IP,具有预集成的优化。通过仿真和综合性能对比,论文结果显示,尽管作者自定义的流水线结构算法的时钟频率稍低于预集成IP核,但是资源消耗显著减少,且能达到同等的计算精度。 论文的关键词包括:坐标旋转数字计算、流水线结构和平方根,这表明了作者的研究焦点集中在硬件实现策略上,旨在提供一个既高效又经济的解决方案。这篇论文不仅介绍了理论原理,还提供了实际应用的硬件设计和性能评估,对于从事数字信号处理特别是FPGA设计的工程师具有很高的参考价值。