FANUC系统参数设置:轴控制与VGA接口的英制/公制单位选择

需积分: 46 18 下载量 78 浏览量 更新于2024-08-07 收藏 2.63MB PDF 举报
"FANUC 机床系统的参数设置与轴控制" 在FANUC机床系统中,参数设置是确保机器正常运行和优化性能的关键步骤。本文档详细介绍了与轴控制和设定单位相关的参数,主要涉及VGA接口和EMC设计标准电路。以下是相关参数的详细解释: 1. 参数 INM (Input Unit for Linear Axes) 参数INM是一个位路径型数据,其第0位决定了直线轴的小移动单位。当该位设为0时,意味着系统采用公制单位(公制机械),而设为1则表示英制单位(英制机械)。在设置完毕后,通常需要断电重启以确保新设置生效。 2. 参数 IDG (Interlock for Reference Point Setting) 第7位的IDG决定了在无挡块参考点设定时,是否自动设置禁止参考点再设定的参数IDGx(No.1012#0)。若设为1,系统会自动进行设置;设为0,则不会执行自动设定。 3. 参数 XIK (Interlock During Nonlinear Interpolation) 当非直线插补定位(参数LRP(No.1401#1)=0)时,XIK参数(第4位)控制了在进行定位过程中用户互锁的响应。设为0,只使应用互锁的轴停止,其他轴继续运动;设为1,所有轴都会停止。 4. 参数 AZR (Action on G28 without Reference Point Established) AZR参数(第3位)在没有建立参考点的情况下影响G28指令的处理。设为0,执行类似于手动返回参考点的减速挡块操作;设为1,如果在参考点未建立时执行G28,系统会显示报警(PS0304)“未建立零点即指令 G28”。但需要注意的是,即使AZR设定为0,在使用无挡块参考点设定功能时,指定G28仍会触发报警。 5. 参数 JAX (JOG and Manual Rapid Feed axes Control) JAX参数(第0位)确定了JOG(手动进给)和手动快速移动时同时控制的轴数。设为0,只能控制1个轴;设为1,可以控制3个轴。 这些参数是FANUC Series 0i-TD, 0i-MD, 0i-D, 0iMate-TD, 0iMate-MD等型号机床的重要设置,它们对机器的操作精度、安全性和效率都有直接影响。在调整这些参数时,必须遵循FANUC提供的手册和安全指导,因为错误的操作可能导致人员受伤或设备损坏。用户在使用前应仔细阅读并理解所有警告、注意和注释,以确保安全和有效的操作。同时,注意不同机型的功能可能会有所不同,有些功能可能需要通过参数设定来启用或禁用。

对代码module VGAsig (Clk40M,iRst_n,VGA_HS, VGA_VS ,H_Loc, V_Loc,VGA_BLANK_N,VGA_SYNC_N); input Clk40M; input iRst_n; output reg VGA_HS; output reg VGA_VS; output wire VGA_SYNC_N; output reg VGA_BLANK_N; output wire[10:0]H_Loc; output wire [9:0]V_Loc; reg [10:0] h_cnt; //行计数器,作为行坐标 reg [9:0] v_cnt; //列计数器,作为列坐标 parameter H_SYNCTIME =128, H_BACK =88, H_PIXELS =800, H_FRONT =40, H_PERIOD =1056; parameter V_SYNCTIME =4, V_BACK =23, V_LINES =600, V_FRONT =1, V_PERIOD =628;//------------------- 行场的计数------------------- always @(posedge Clk40M or negedge iRst_n) begin if(iRst_n==1'b0) h_cnt<=1'b0; else if(h_cnt == H_PERIOD-1) h_cnt<=1'b0; else h_cnt<=h_cnt+1; end always @(posedge Clk40M or negedge iRst_n) begin if(iRst_n==1'b0) v_cnt<=1'b0; else if(v_cnt==V_PERIOD-1) v_cnt<=1'b0; else if ( h_cnt==H_PERIOD-1 ) v_cnt<=v_cnt+1; end//-------------------同步信号产生------------------- always @(posedge Clk40M or negedge iRst_n) begin if(iRst_n==1'b0) VGA_HS<=1'b1; else if(h_cnt>=( H_PIXELS + H_FRONT)&& h_cnt <=( H_PIXELS + H_FRONT + H_SYNCTIME -1) ) VGA_HS <=1'b0; //--此处 840~967 为行同步区 else VGA_HS <=1'b1; end always @(posedge Clk40M or negedge iRst_n) begin if(iRst_n==1'b0) VGA_VS<=1'b1; else if(v_cnt>=( V_LINES + V_FRONT)&& v_cnt <=( V_LINES + V_FRONT + V_SYNCTIME -1) ) VGA_VS <=1'b0; //此处 601~604 为场同步区 else VGA_VS <=1'b1; end always @(posedge Clk40M )//产生送入 ADV7123 的复合消隐信号 VGA_BLANK_N,当不在有效显示区时,VGA_BLANK_N 输出低电平;反之,输出高电平; begin if (h_cnt >= H_PIXELS | v_cnt >= V_LINES) VGA_BLANK_N <= 1'b0; // H_PIXELS 为 800,V_LINES 为 600 else VGA_BLANK_N <= 1'b1; end//------------------- 行场像素位置输出------------------- assign H_Loc=h_cnt; assign V_Loc=v_cnt; assign VGA_SYNC_N=(VGA_VS & VGA_HS); endmodule进行仿真并分析

2023-05-22 上传

写出此代码module VGAsig (Clk40M,iRst_n,VGA_HS, VGA_VS ,H_Loc, V_Loc,VGA_BLANK_N,VGA_SYNC_N); input Clk40M; input iRst_n; output reg VGA_HS; output reg VGA_VS; output wire VGA_SYNC_N; output reg VGA_BLANK_N; output wire[10:0]H_Loc; output wire [9:0]V_Loc; reg [10:0] h_cnt; //行计数器,作为行坐标 reg [9:0] v_cnt; //列计数器,作为列坐标 parameter H_SYNCTIME =128, H_BACK =88, H_PIXELS =800, H_FRONT =40, H_PERIOD =1056; parameter V_SYNCTIME =4, V_BACK =23, V_LINES =600, V_FRONT =1, V_PERIOD =628;//------------------- 行场的计数------------------- always @(posedge Clk40M or negedge iRst_n) begin if(iRst_n==1'b0) h_cnt<=1'b0; else if(h_cnt == H_PERIOD-1) h_cnt<=1'b0; else h_cnt<=h_cnt+1; end always @(posedge Clk40M or negedge iRst_n) begin if(iRst_n==1'b0) v_cnt<=1'b0; else if(v_cnt==V_PERIOD-1) v_cnt<=1'b0; else if ( h_cnt==H_PERIOD-1 ) v_cnt<=v_cnt+1; end//-------------------同步信号产生------------------- always @(posedge Clk40M or negedge iRst_n) begin if(iRst_n==1'b0) VGA_HS<=1'b1; else if(h_cnt>=( H_PIXELS + H_FRONT)&& h_cnt <=( H_PIXELS + H_FRONT + H_SYNCTIME -1) ) VGA_HS <=1'b0; //--此处 840~967 为行同步区 else VGA_HS <=1'b1; end always @(posedge Clk40M or negedge iRst_n) begin if(iRst_n==1'b0) VGA_VS<=1'b1; else if(v_cnt>=( V_LINES + V_FRONT)&& v_cnt <=( V_LINES + V_FRONT + V_SYNCTIME -1) ) VGA_VS <=1'b0; //此处 601~604 为场同步区 else VGA_VS <=1'b1; end always @(posedge Clk40M )//产生送入 ADV7123 的复合消隐信号 VGA_BLANK_N,当不在有效显示区时,VGA_BLANK_N 输出低电平;反之,输出高电平; begin if (h_cnt >= H_PIXELS | v_cnt >= V_LINES) VGA_BLANK_N <= 1'b0; // H_PIXELS 为 800,V_LINES 为 600 else VGA_BLANK_N <= 1'b1; end//------------------- 行场像素位置输出------------------- assign H_Loc=h_cnt; assign V_Loc=v_cnt; assign VGA_SYNC_N=(VGA_VS & VGA_HS); endmodule的激励文件

2023-05-22 上传