用单片机通过串口发送数据,数据格式为包头0x3A和3位有效数据(数据以十六进制格式),并且通过数码管6,7,8(或者1,2,3位)位显示串口发送的十进制有效数据的代码。

时间: 2023-04-05 17:01:02 浏览: 58
您好,以下是回答: #include <reg52.h> #include <intrins.h> #define uchar unsigned char #define uint unsigned int sbit DIO=P2^0; sbit CLK=P2^1; sbit STB=P2^2; uchar code table[]={ 0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f }; void delay(uint z) { uint x,y; for(x=z;x>0;x--) for(y=110;y>0;y--); } void write_74hc595(uchar dat) { uchar a; STB=0; for(a=0;a<8;a++) { CLK=0; DIO=dat&0x80; dat<<=1; CLK=1; } STB=1; } void send_data(uchar dat) { SBUF=dat; while(TI==0); TI=0; } void main() { TMOD=0x20; TH1=0xfd; TL1=0xfd; TR1=1; SM0=0; SM1=1; REN=1; EA=1; ES=1; while(1) { write_74hc595(table[0]); delay(1000); write_74hc595(table[1]); delay(1000); write_74hc595(table[2]); delay(1000); } } void ser() interrupt 4 { uchar receive_data; if(RI==1) { RI=0; receive_data=SBUF; write_74hc595(receive_data); send_data(receive_data); } }

相关推荐

最新推荐

recommend-type

单片机串行口接收和发送数据的过程简述

本文主要简单介绍了单片机串行口接收和发送数据的过程
recommend-type

基于LabVIEW与单片机串口的数据采集系统

绍一种利用单片机采集数据,LabVIEW作为开发平台,二者之间通过串口实现数据通讯的数据采集系统,详细介绍了软、硬件设计方案。
recommend-type

ADC0809和51单片机的多路数据采集系统设计方案

“数据采集”是指将温度、压力、流量、位移等模拟物理量采集并转换成数字量后,再由计算机进行存储、处理、显示和打印的过程,相应的系统称为数据采集系统。
recommend-type

用法拉电容从容实现单片机掉电数据保存

以下的电路,是一个可靠的简单的掉电检测、法拉电容能量储存等完整硬件电路和相应的软件细节,是笔者在产品上一个成熟的可靠的自诩经典电路和心血,在这里完全公开地提供给大家以供大家一起来批判赏析借鉴和改进.
recommend-type

32位单片机 PY32F003 中文数据手册

PY32F003 系列微控制器采用高性能的 32 位 ARM Cortex-M0+内核,宽电压工作范围的 MCU。嵌入高达 32Kbytes flash 和 4Kbytes SRAM 存储器,最高工作频率 32MHz。包含多种不同封装类型多款产品。芯片集成多路 I2C、...
recommend-type

zigbee-cluster-library-specification

最新的zigbee-cluster-library-specification说明文档。
recommend-type

管理建模和仿真的文件

管理Boualem Benatallah引用此版本:布阿利姆·贝纳塔拉。管理建模和仿真。约瑟夫-傅立叶大学-格勒诺布尔第一大学,1996年。法语。NNT:电话:00345357HAL ID:电话:00345357https://theses.hal.science/tel-003453572008年12月9日提交HAL是一个多学科的开放存取档案馆,用于存放和传播科学研究论文,无论它们是否被公开。论文可以来自法国或国外的教学和研究机构,也可以来自公共或私人研究中心。L’archive ouverte pluridisciplinaire
recommend-type

实现实时数据湖架构:Kafka与Hive集成

![实现实时数据湖架构:Kafka与Hive集成](https://img-blog.csdnimg.cn/img_convert/10eb2e6972b3b6086286fc64c0b3ee41.jpeg) # 1. 实时数据湖架构概述** 实时数据湖是一种现代数据管理架构,它允许企业以低延迟的方式收集、存储和处理大量数据。与传统数据仓库不同,实时数据湖不依赖于预先定义的模式,而是采用灵活的架构,可以处理各种数据类型和格式。这种架构为企业提供了以下优势: - **实时洞察:**实时数据湖允许企业访问最新的数据,从而做出更明智的决策。 - **数据民主化:**实时数据湖使各种利益相关者都可
recommend-type

用 Python 画一个可以动的爱心

好的,我可以帮助您使用Python来绘制一个动态的爱心。您可以使用turtle库来实现。以下是实现代码: ```python import turtle import math # 设置画布和画笔 canvas = turtle.Screen() canvas.bgcolor("black") pencil = turtle.Turtle() pencil.speed(0) pencil.color("red", "pink") pencil.pensize(3) # 定义爱心函数 def draw_love(heart_size, x_offset=0, y_offset=0):
recommend-type

JSBSim Reference Manual

JSBSim参考手册,其中包含JSBSim简介,JSBSim配置文件xml的编写语法,编程手册以及一些应用实例等。其中有部分内容还没有写完,估计有生之年很难看到完整版了,但是内容还是很有参考价值的。