4选1多路选择器VHDL程序代码
时间: 2024-03-02 21:09:19 浏览: 20
以下是一个基于 VHDL 语言的 4选1 多路选择器的程序代码示例:
```
entity mux4to1 is
port (
data_in: in std_logic_vector(3 downto 0);
sel: in std_logic_vector(1 downto 0);
data_out: out std_logic
);
end entity mux4to1;
architecture Behavioral of mux4to1 is
begin
process(sel, data_in)
begin
case sel is
when "00" =>
data_out <= data_in(0);
when "01" =>
data_out <= data_in(1);
when "10" =>
data_out <= data_in(2);
when "11" =>
data_out <= data_in(3);
when others =>
data_out <= '0';
end case;
end process;
end architecture Behavioral;
```
这个 VHDL 的程序代码实现了一个 4选1 多路选择器。输入包括一个四位 std_logic_vector 类型的信号 data_in 和一个两位 std_logic_vector 类型的信号 sel,输出为一个单独的信号 data_out。根据 sel 的值,选择器将 data_in 中的一个信号传递到输出 data_out 中。若 sel 的值不在 "00" 到 "11" 的范围内,则输出 '0'。