4选1数据选择器VHDL
时间: 2023-11-04 10:05:48 浏览: 47
以下是一个简单的4选1数据选择器的VHDL代码:
```vhdl
entity data_selector is
port (
data_in_0, data_in_1, data_in_2, data_in_3 : in std_logic_vector(7 downto 0);
sel : in std_logic_vector(1 downto 0);
data_out : out std_logic_vector(7 downto 0)
);
end entity;
architecture behavior of data_selector is
begin
process(sel, data_in_0, data_in_1, data_in_2, data_in_3)
begin
case sel is
when "00" =>
data_out <= data_in_0;
when "01" =>
data_out <= data_in_1;
when "10" =>
data_out <= data_in_2;
when "11" =>
data_out <= data_in_3;
when others =>
data_out <= (others => 'X');
end case;
end process;
end architecture;
```
在这个代码中,有一个实体`data_selector`和四个数据输入`data_in_0`,`data_in_1`,`data_in_2`和`data_in_3`,以及两位选择输入`sel`和一个数据输出`data_out`。通过选择输入`sel`的不同值,可以将其中一个数据输入传递到数据输出`data_out`。当选择输入`sel`的值不在"00","01","10"和"11"的范围内时,数据输出将被设置为不确定状态。