get virtual sequence

时间: 2024-01-08 14:03:52 浏览: 32
引用\[1\]:虚拟的sequence。 虚拟的意思就是它根本就不发送transaction, 它只是控制其他的sequence, 起统一调度的作用 。引用\[2\]:virtual sequence:承载不同目标sequencer的sequence群落,实现sequence同步;virtual sequence一般只会挂载到virtual sequencer上,且没有自己的sequence_item,只用于控制其他的sequence执行顺序,起统一调度作用。virtual sequencer:桥接其它sequencer,即连接所有底层sequencer的句柄(指针),是一个中心化的路由器。virtual sequencer本身并不传送item数据对象,因此不需要与driver进行TLM连接。所以用户需在顶层的connect阶段做好virtual sequencer中各个sequencer句柄与sequencer实体对象的一一连接,避免句柄悬空。 问题:如何获取虚拟sequence? 回答:要获取虚拟sequence,需要创建一个virtual sequence对象,并将其挂载到virtual sequencer上。虚拟sequence不发送transaction,而是控制其他的sequence的执行顺序,起到统一调度的作用。虚拟sequence一般只会挂载到virtual sequencer上,没有自己的sequence_item。\[1\]\[2\] #### 引用[.reference_title] - *1* [virtual sequence 和 virtual sequencer start 启动流程](https://blog.csdn.net/hyykq/article/details/122848466)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insertT0,239^v3^insert_chatgpt"}} ] [.reference_item] - *2* [UVM——虚序列器与虚序列(virtual sequencer与virtual sequence)](https://blog.csdn.net/weixin_46022434/article/details/105869127)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insertT0,239^v3^insert_chatgpt"}} ] [.reference_item] - *3* [【UVM基础】虚序列器与虚序列(virtual sequencer与virtual sequence)快速上手指南](https://blog.csdn.net/ReCclay/article/details/123319463)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insertT0,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]

相关推荐

请逐行注释下面的代码:class riscv_instr_base_test extends uvm_test; riscv_instr_gen_config cfg; string test_opts; string asm_file_name = "riscv_asm_test"; riscv_asm_program_gen asm_gen; string instr_seq; int start_idx; uvm_coreservice_t coreservice; uvm_factory factory; uvm_component_utils(riscv_instr_base_test) function new(string name="", uvm_component parent=null); super.new(name, parent); void'($value$plusargs("asm_file_name=%0s", asm_file_name)); void'($value$plusargs("start_idx=%0d", start_idx)); endfunction virtual function void build_phase(uvm_phase phase); super.build_phase(phase); coreservice = uvm_coreservice_t::get(); factory = coreservice.get_factory(); uvm_info(gfn, "Create configuration instance", UVM_LOW) cfg = riscv_instr_gen_config::type_id::create("cfg"); uvm_info(gfn, "Create configuration instance...done", UVM_LOW) uvm_config_db#(riscv_instr_gen_config)::set(null, "*", "instr_cfg", cfg); if(cfg.asm_test_suffix != "") asm_file_name = {asm_file_name, ".", cfg.asm_test_suffix}; // Override the default riscv instruction sequence if($value$plusargs("instr_seq=%0s", instr_seq)) begin factory.set_type_override_by_name("riscv_instr_sequence", instr_seq); end if (riscv_instr_pkg::support_debug_mode) begin factory.set_inst_override_by_name("riscv_asm_program_gen", "riscv_debug_rom_gen", {gfn, ".asm_gen.debug_rom"}); end endfunction function void report_phase(uvm_phase phase); uvm_report_server rs; int error_count; rs = uvm_report_server::get_server(); error_count = rs.get_severity_count(UVM_WARNING) + rs.get_severity_count(UVM_ERROR) + rs.get_severity_count(UVM_FATAL); if (error_count == 0) begin uvm_info("", "TEST PASSED", UVM_NONE); end else begin uvm_info("", "TEST FAILED", UVM_NONE); end uvm_info("", "TEST GENERATION DONE", UVM_NONE); super.report_phase(phase); endfunction virtual function void apply_directed_instr(); endfunction task run_phase(uvm_phase phase); int fd; for(int i = 0; i < cfg.num_of_tests; i++) begin string test_name; randomize_cfg(); riscv_instr::create_instr_list(cfg); riscv_csr_instr::create_csr_filter(cfg); asm_gen = riscv_asm_program_gen::type_id::create("asm_gen", , gfn); asm_gen.cfg = cfg; asm_gen.get_directed_instr_stream(); test_name = $sformatf("%0s_%0d.S", asm_file_name, i+start_idx); apply_directed_instr(); uvm_info(gfn, "All directed instruction is applied", UVM_LOW) asm_gen.gen_program(); asm_gen.gen_test_file(test_name); end endtask virtual function void randomize_cfg(); DV_CHECK_RANDOMIZE_FATAL(cfg); uvm_info(gfn, $sformatf("riscv_instr_gen_config is randomized:\n%0s", cfg.sprint()), UVM_LOW) endfunction endclass

class vbase_test extends uvm_test; uvm_component_utils(vbase_test) env m_env; vseqr m_vseqr; int unsigned simSeed; function new(string name, uvm_component parent); super.new(name, parent); endfunction : new extern function void build_phase (uvm_phase phase); extern function void connect_phase (uvm_phase phase); extern task reset_phase(uvm_phase phase); extern task reset_reg_model(); extern function void end_of_elaboration_phase(uvm_phase phase); extern function void start_of_simulation_phase(uvm_phase phase); extern task main_phase(uvm_phase phase); // report test result extern virtual function void report_phase(uvm_phase phase); endclass : vbase_test function void vbase_test::build_phase (uvm_phase phase); super.build_phase(phase); m_env = env::type_id::create(.name("m_env"), .parent(this)); // virtual sequencer m_vseqr = vseqr::type_id::create(.name("m_vseqr"), .parent(this)); uvm_config_db# (uvm_object_wrapper)::set(this,"m_vseqr.main_phase","default_sequence",vBaseSeq::type_id::get()); //uvm_config_db# (uvm_object_wrapper)::set(this,"m_vseqr.main_phase","default_sequence",vUniBaseSeq#()::type_id::get()); endfunction : build_phase function void vbase_test::connect_phase (uvm_phase phase); m_vseqr.p_rm = m_env.m_reg_model; m_vseqr.i2c_seqr = m_env.m_i2c_agent.m_seqr; endfunction : connect_phase task vbase_test::reset_phase(uvm_phase phase); //uvm_info(get_type_name(), {"REGISTER MODEL:\n", m_reg_model.sprint()}, UVM_MEDIUM) reset_reg_model(); super.reset_phase(phase); endtask task vbase_test::reset_reg_model(); forever begin wait (tb_top.reset_n == 0); m_env.m_reg_model.reset(); uvm_info(get_type_name(), "Reseting Complete", UVM_MEDIUM) wait (tb_top.reset_n == 1); end endtask function void vbase_test::end_of_elaboration_phase(uvm_phase phase); int handle; $system("rm -rf TEST_RUNNING"); simSeed = $get_initial_random_seed(); handle = $fopen($psprintf("TEST_RUNNING_%0d",simSeed),"w"); $fclose(handle); handle = $fopen("caseSeed","w"); $fwrite(handle,"%0d",simSeed); $fclose(handle); if($test$plusargs("uvm_tree")) uvm_top.print_topology(); endfunction : end_of_elaboration_phase function void vbase_test::start_of_simulation_phase(uvm_phase phase); uvm_info(get_type_name(), {"start of simulation for ", get_full_name()}, UVM_HIGH); endfunction : start_of_simulation_phase task vbase_test::main_phase(uvm_phase phase); phase.phase_done.set_drain_time(this, 200ns); endtask : main_phase // report test result function void vbase_test::report_phase(uvm_phase phase); uvm_report_server server; int handle; int unsigned err_num; super.report_phase(phase); server = get_report_server(); err_num = (server.get_severity_count(UVM_ERROR) + server.get_severity_count(UVM_FATAL)); simSeed = $get_initial_random_seed(); $display("\n********************************************************************************************\n"); if (err_num != 0) begin $display("TEST CASE FAILED!!!"); handle = $fopen($psprintf("TEST_FAILED_%0d",simSeed),"w"); end else begin $display("TEST CASE PASSED!!!"); handle = $fopen($psprintf("TEST_PASSED_%0d",simSeed),"w"); end $fclose(handle); $display("\n********************************************************************************************\n"); $system("rm -rf TEST_RUNNING*"); endfunction endif

最新推荐

recommend-type

电力电子与电力传动专业《电子技术基础》期末考试试题

"电力电子与电力传动专业《电子技术基础》期末考试题试卷(卷四)" 这份试卷涵盖了电子技术基础中的多个重要知识点,包括运放的特性、放大电路的类型、功率放大器的作用、功放电路的失真问题、复合管的运用以及集成电路LM386的应用等。 1. 运算放大器的理论: - 理想运放(Ideal Op-Amp)具有无限大的开环电压增益(A_od → ∞),这意味着它能够提供非常高的电压放大效果。 - 输入电阻(rid → ∞)表示几乎不消耗输入电流,因此不会影响信号源。 - 输出电阻(rod → 0)意味着运放能提供恒定的电压输出,不随负载变化。 - 共模抑制比(K_CMR → ∞)表示运放能有效地抑制共模信号,增强差模信号的放大。 2. 比例运算放大器: - 闭环电压放大倍数取决于集成运放的参数和外部反馈电阻的比例。 - 当引入负反馈时,放大倍数与运放本身的开环增益和反馈网络电阻有关。 3. 差动输入放大电路: - 其输入和输出电压的关系由差模电压增益决定,公式通常涉及输入电压差分和输出电压的关系。 4. 同相比例运算电路: - 当反馈电阻Rf为0,输入电阻R1趋向无穷大时,电路变成电压跟随器,其电压增益为1。 5. 功率放大器: - 通常位于放大器系统的末级,负责将较小的电信号转换为驱动负载的大电流或大电压信号。 - 主要任务是放大交流信号,并将其转换为功率输出。 6. 双电源互补对称功放(Bipolar Junction Transistor, BJT)和单电源互补对称功放(Single Supply Operational Amplifier, Op-Amp): - 双电源互补对称功放常被称为OTL电路,而单电源对称功放则称为OCL电路。 7. 交越失真及解决方法: - 在功放管之间接入偏置电阻和二极管,提供适当的偏置电流,使功放管在静态时工作在线性区,避免交越失真。 8. 复合管的电流放大系数: - 复合管的电流放大系数约等于两个组成管子的电流放大系数之乘积。 9. 复合管的构建原则: - 确保每个参与复合的管子的电流方向正确。 - 复合管的类型由参与复合的两个管子中的一种类型决定。 10. 复合管的优势与缺点: - 优点是能提高电流放大能力,增加集电极电流的负载能力。 - 缺点是热稳定性较差,可通过在第一个管子的发射极连接电阻来改善。 11. LM386集成电路: - 脚2是反相输入端,脚3是同相输入端。 - 脚1和8之间的外接元件用于调节增益和频率响应。 - 脚7通常是电源接地端。 - 脚5是一个内部电平移位器,用于设置工作电压范围。 - 脚4和6通常连接到电源的正负极。 12. 整流滤波电路: - 直流电压的稳定性受整流二极管的前向电压和滤波电容的充电/放电特性影响。 - 当二极管的前向电压变化或滤波电容的值改变时,输出直流电压会有波动。 这份试卷全面测试了学生对电子技术基础理论的理解,包括放大电路设计、运算放大器应用、功率放大器的工作原理,以及集成电路在实际电路中的运用。学生需要掌握这些概念并能灵活应用。
recommend-type

管理建模和仿真的文件

管理Boualem Benatallah引用此版本:布阿利姆·贝纳塔拉。管理建模和仿真。约瑟夫-傅立叶大学-格勒诺布尔第一大学,1996年。法语。NNT:电话:00345357HAL ID:电话:00345357https://theses.hal.science/tel-003453572008年12月9日提交HAL是一个多学科的开放存取档案馆,用于存放和传播科学研究论文,无论它们是否被公开。论文可以来自法国或国外的教学和研究机构,也可以来自公共或私人研究中心。L’archive ouverte pluridisciplinaire
recommend-type

VGGNet与其他深度学习模型对比:优缺点全解析,做出明智的模型选择

![VGGNet与其他深度学习模型对比:优缺点全解析,做出明智的模型选择](https://img-blog.csdnimg.cn/a02739db1463453db084046846e0549d.png?x-oss-process=image/watermark,type_ZHJvaWRzYW5zZmFsbGJhY2s,shadow_50,text_Q1NETiBA5Lmd5LmF5ZGA,size_20,color_FFFFFF,t_70,g_se,x_16) # 1. 深度学习模型概述 深度学习模型是一种强大的机器学习算法,它通过模拟人脑中的神经网络结构来学习和处理复杂数据。深度学习模
recommend-type

mysql 索引类型

MySQL中的索引类型主要有以下几种: 1. **B树索引(BTREE)**:这是最常用的索引类型,适用于大多数查询操作。B树索引的数据结构类似于一颗平衡二叉树,支持范围查询和排序。 2. **哈希索引(HASH)**:也称为散列索引,查找速度非常快,但只适用于等值查询(等于某个值),不支持范围查询。 3. **全文索引(FULLTEXT)**:用于全文本搜索,如MATCH AGAINST语句,适合于对文本字段进行复杂的搜索。 4. **空间索引(SPATIAL)**:如R-Tree,专为地理位置数据设计,支持点、线、面等几何形状的操作。 5. **唯一索引(UNIQUE)**:B树
recommend-type

电力电子技术期末考试题:电力客户与服务管理专业

"电力客户与服务管理专业《电力电子技术》期末考试题试卷(卷C)" 这份试卷涵盖了电力电子技术的基础知识,主要涉及放大电路的相关概念和分析方法。以下是试卷中的关键知识点: 1. **交流通路**:在放大器分析中,交流通路是指忽略直流偏置时的电路模型,它是用来分析交流信号通过放大器的路径。在绘制交流通路时,通常将电源电压视为短路,保留交流信号所影响的元件。 2. **放大电路的分析方法**:包括直流通路分析、交流通路分析和瞬时值图解法。直流通路关注的是静态工作点的确定,交流通路关注的是动态信号的传递。 3. **静态工作点稳定性**:当温度变化时,三极管参数会改变,可能导致放大电路静态工作点的漂移。为了稳定工作点,可以采用负反馈电路。 4. **失真类型**:由于三极管的非线性特性,会导致幅度失真,即非线性失真;而放大器对不同频率信号放大倍数的不同则可能导致频率响应失真或相位失真。 5. **通频带**:表示放大器能有效放大的频率范围,通常用下限频率fL和上限频率fH来表示,公式为fH-fL。 6. **多级放大器的分类**:包括输入级、中间级和输出级。输入级负责处理小信号,中间级提供足够的电流驱动能力,输出级则要满足负载的需求。 7. **耦合方式**:多级放大电路间的耦合有直接耦合、阻容耦合和变压器耦合,每种耦合方式有其特定的应用场景。 8. **交流和直流信号放大**:若需要同时放大两者,通常选用直接耦合的方式。 9. **输入和输出电阻**:多级放大电路的输入电阻等于第一级的输入电阻,输出电阻等于最后一级的输出电阻。总电压放大倍数是各级放大倍数的乘积。 10. **放大器的基本组合状态**:包括共基放大、共集放大(又称射极跟随器)和共源放大。共集放大电路的电压放大倍数接近于1,但具有高输入电阻和低输出电阻的特性。 11. **场效应管的工作区域**:场效应管的输出特性曲线有截止区、饱和区和放大区。在放大区,场效应管可以作为放大器件使用。 12. **场效应管的控制机制**:场效应管利用栅极-源极间的电场来控制漏极-源极间的电流,因此被称为电压控制型器件。根据结构和工作原理,场效应管分为结型场效应管和绝缘栅型场效应管(MOSFET)。 13. **场效应管的电极**:包括源极(Source)、栅极(Gate)和漏极(Drain)。 14. **混合放大电路**:场效应管与晶体三极管结合可以构成各种类型的放大电路,如互补对称电路(如BJT的差分对电路)和MOSFET的MOS互补电路等。 这些知识点是电力电子技术中的基础,对于理解和设计电子电路至关重要。
recommend-type

"互动学习:行动中的多样性与论文攻读经历"

多样性她- 事实上SCI NCES你的时间表ECOLEDO C Tora SC和NCESPOUR l’Ingén学习互动,互动学习以行动为中心的强化学习学会互动,互动学习,以行动为中心的强化学习计算机科学博士论文于2021年9月28日在Villeneuve d'Asq公开支持马修·瑟林评审团主席法布里斯·勒菲弗尔阿维尼翁大学教授论文指导奥利维尔·皮耶昆谷歌研究教授:智囊团论文联合主任菲利普·普雷教授,大学。里尔/CRISTAL/因里亚报告员奥利维耶·西格德索邦大学报告员卢多维奇·德诺耶教授,Facebook /索邦大学审查员越南圣迈IMT Atlantic高级讲师邀请弗洛里安·斯特鲁布博士,Deepmind对于那些及时看到自己错误的人...3谢谢你首先,我要感谢我的两位博士生导师Olivier和Philippe。奥利维尔,"站在巨人的肩膀上"这句话对你来说完全有意义了。从科学上讲,你知道在这篇论文的(许多)错误中,你是我可以依
recommend-type

VGGNet训练技巧大公开:如何提升VGGNet模型性能,解锁图像分类的奥秘

![VGGNet训练技巧大公开:如何提升VGGNet模型性能,解锁图像分类的奥秘](https://img3.gelonghui.com/2e78e-d473e9f6-428a-4cab-9fa9-27eb10a6a522.png) # 1. VGGNet模型简介 VGGNet,全称Visual Geometry Group Network,是一种卷积神经网络(CNN)模型,由牛津大学视觉几何组于2014年提出。VGGNet以其简单、易于实现的网络结构和出色的图像分类性能而闻名。 VGGNet的核心结构由一系列卷积层和池化层组成。卷积层负责提取图像特征,而池化层则用于减少特征图的尺寸。VG
recommend-type

设备状态由于该设备有问题,Windows已将其停止。(代码 43)如何操作

遇到错误代码43通常表示Windows系统中某个硬件驱动程序出现了问题,导致设备无法正常运行并被自动停用。这种情况可能是由于驱动过时、设备兼容性问题或者是硬件损坏造成的。下面是针对此问题的一些操作步骤: 1. **更新或重新安装驱动**: - 访问设备管理器(按Win + X,选择“设备管理器”),找到显示代码为43的设备,右键点击选择“更新驱动”,如果选项中没有可用更新,尝试卸载后到设备制造商官网下载最新驱动安装。 2. **检查硬件连接**: - 确保设备物理连接良好,如有线接口检查是否插好,无线设备确认是否有信号。 3. **禁用然后启用设备**: - 在设备管理
recommend-type

电力系统自动化《电力电子技术》期末考卷习题精选

本资源是一份2020-2021学年秋季学期电力系统及其自动化专业的《电力电子技术》期末考试试卷,涵盖了电力系统理论与实践中的多个知识点。以下是一些主要部分的详细解析: 1. **电力网类型**:题目询问单向供电的电力网被称为(开式电力网还是闭式电力网),这涉及到电力系统的网络结构基础知识,开式电力网通常指的是只有一个方向的供电,如分布式发电或局部电网,而闭式电力网则可能有双向供电。 2. **负荷分类**:电力用户按其负荷重要性被分为几个等级,这涉及到电力系统可靠性管理和负荷分级管理,通常分为一级(关键负荷)、二级(次要负荷)和三级(一般负荷),不同等级的供电中断可能导致不同的后果。 3. **供电可靠性**:三类负荷对供电的要求分别是不同层次,一级负荷不允许任何情况下的突然停电,二级和三级则允许在某些条件下。 4. **电工仪表**:磁电式仪表用于测量直流电流和电压,电磁式仪表则同时适用于直流和交流测量,各自的优势在于对交流信号的处理能力。 5. **数字转换**:涉及二进制与十进制的转换,如二进制数10101转换为十进制数,以及不同编码系统(如8421BCD码)的应用。 6. **逻辑电路**:逻辑函数式的简化,包括基本运算符如AND、OR等,以及复合函数的计算。 7. **三极管放大原理**:三极管放大作用的关键在于控制电流,即以小电流控制大电流,而不是能量或电压的放大。 8. **PN结性质**:PN结加反向电压时,其宽度通常会增大,因为电子和空穴被抑制扩散,形成势垒区。 9. **放大器反馈**:有反馈的放大器可能提升也可能降低放大倍数,取决于反馈类型和设计目标,正反馈在自激振荡器中常见。 10. **电路类型**:正反馈电路的例子包括自激振荡器,这些电路利用反馈机制维持稳定的振荡状态。 11. **放大器设计**:对于稳定输出电压和提高输入电阻的需求,通常采用电压串联负反馈,因为它可以减小输出对输入的依赖,并提高输入阻抗。 这些题目展示了电力电子技术课程中涵盖的基本概念,从电力网理论到电子元件的特性,再到逻辑电路分析和放大器设计,都是电力系统工程师必备的基础知识。通过解答这些问题,学生可以检验自己对该课程的理解程度,并准备期末考试。
recommend-type

关系数据表示学习

关系数据卢多维奇·多斯桑托斯引用此版本:卢多维奇·多斯桑托斯。关系数据的表示学习机器学习[cs.LG]。皮埃尔和玛丽·居里大学-巴黎第六大学,2017年。英语。NNT:2017PA066480。电话:01803188HAL ID:电话:01803188https://theses.hal.science/tel-01803188提交日期:2018年HAL是一个多学科的开放存取档案馆,用于存放和传播科学研究论文,无论它们是否被公开。论文可以来自法国或国外的教学和研究机构,也可以来自公共或私人研究中心。L’archive ouverte pluridisciplinaireUNIVERSITY PIERRE和 MARIE CURIE计算机科学、电信和电子学博士学院(巴黎)巴黎6号计算机科学实验室D八角形T HESIS关系数据表示学习作者:Ludovic DOS SAntos主管:Patrick GALLINARI联合主管:本杰明·P·伊沃瓦斯基为满足计算机科学博士学位的要求而提交的论文评审团成员:先生蒂埃里·A·退休记者先生尤尼斯·B·恩