使用UVM框架编写不成帧、成帧、成复帧三种工作模式下生成E1帧的sequence

时间: 2023-11-27 15:04:00 浏览: 40
以下是使用UVM框架编写不成帧、成帧、成复帧三种工作模式下生成E1帧的sequence的示例代码: ``` class E1_frame_sequence extends uvm_sequence #(E1_frame); `uvm_object_utils(E1_frame_sequence) function new(string name = "E1_frame_sequence"); super.new(name); endfunction virtual task body(); // 不成帧模式下的E1帧生成 E1_frame e1_frame1 = new(); e1_frame1.set_mode("Unframed"); e1_frame1.set_sync(32'hFFFFFFFF); e1_frame1.set_data(random_data(32)); e1_frame1.set_checksum(get_checksum(e1_frame1)); `uvm_info(get_type_name(), $sformatf("Generated E1 frame in Unframed mode with data %0h", e1_frame1.get_data()), UVM_MEDIUM) // 成帧模式下的E1帧生成 E1_frame e1_frame2 = new(); e1_frame2.set_mode("Framed"); e1_frame2.set_sync(64'h2F2F2F2F2F2F2F2F); e1_frame2.set_data(random_data(32)); e1_frame2.set_checksum(get_checksum(e1_frame2)); `uvm_info(get_type_name(), $sformatf("Generated E1 frame in Framed mode with data %0h", e1_frame2.get_data()), UVM_MEDIUM) // 成复帧模式下的E1帧生成 E1_frame e1_frame3 = new(); e1_frame3.set_mode("Multiframe"); e1_frame3.set_sync(128'h2F2F2F2F2F2F2F2F2F2F2F2F2F2F2F2F2F2F2F2F2F2F2F2F); e1_frame3.set_data(random_data(192)); e1_frame3.set_checksum(get_checksum(e1_frame3)); `uvm_info(get_type_name(), $sformatf("Generated E1 frame in Multiframe mode with data %0h", e1_frame3.get_data()), UVM_MEDIUM) // 将生成的E1帧分别发往DUT seq_item_port.write(e1_frame1); seq_item_port.write(e1_frame2); seq_item_port.write(e1_frame3); endtask function logic [31:0] random_data(int size); logic [31:0] data; repeat(size/4) begin data[$*4 +: 4] = $random; end return data; endfunction function logic get_checksum(E1_frame e1_frame); logic [31:0] data = e1_frame.get_sync() >> 32; data = {data, e1_frame.get_sync() & 32'hFFFFFFFF}; data = {data, e1_frame.get_data()}; logic [7:0] checksum = ~data.sum(); return checksum; endfunction endclass ``` 以上示例代码中,使用了一个名为E1_frame的class表示E1帧数据,其中包含了mode、sync、data和checksum四个field。在sequence的body中,分别构造了不成帧、成帧、成复帧三种工作模式下的E1帧数据,并将其通过seq_item_port发往DUT。其中,get_checksum函数实现了校验码的计算方法,sum()函数用于计算data的总和。

相关推荐

最新推荐

recommend-type

利用matalb 生成c 模型并在uvm中调用

主要实现matlab生成c 模型的.so动态库,并在uvm上调用;同时将 uvm随机后的结构体参数传入matlab中
recommend-type

modelsim环境下运行UVM

实验环境:win7+modelsim10.4d 实验用例:输出hello_uvm; Modelsim10.4d在安装时已配置好uvm的连接dll文件,因此不再需要生成(在文件夹C:\modeltech64_10.4\uvm-1.1d\win64下)
recommend-type

Universal Verification Methodology (UVM) 1.2 Class Reference

Universal Verification Methodology (UVM) 1.2 Class Reference
recommend-type

uvm-studying-wy.docx

是UVM的基础知识,可以对UVM有个初步了解。希望对初学UVM基础的人有帮助。建议可以和张强的《UVM实战》一起学习。 内容: 1构建一个简单的UVM平台 2 UVM平台组件 3 UVM factory机制 4 UVM事务级建模 5 UVM信息...
recommend-type

UVM_Class_Reference_Manual_1.2.pdf

UVM_Class_Reference_Manual_1.2.pdf用来查UVM中的phase啥的很是方便。目录很清晰。与之共享。
recommend-type

zigbee-cluster-library-specification

最新的zigbee-cluster-library-specification说明文档。
recommend-type

管理建模和仿真的文件

管理Boualem Benatallah引用此版本:布阿利姆·贝纳塔拉。管理建模和仿真。约瑟夫-傅立叶大学-格勒诺布尔第一大学,1996年。法语。NNT:电话:00345357HAL ID:电话:00345357https://theses.hal.science/tel-003453572008年12月9日提交HAL是一个多学科的开放存取档案馆,用于存放和传播科学研究论文,无论它们是否被公开。论文可以来自法国或国外的教学和研究机构,也可以来自公共或私人研究中心。L’archive ouverte pluridisciplinaire
recommend-type

实现实时数据湖架构:Kafka与Hive集成

![实现实时数据湖架构:Kafka与Hive集成](https://img-blog.csdnimg.cn/img_convert/10eb2e6972b3b6086286fc64c0b3ee41.jpeg) # 1. 实时数据湖架构概述** 实时数据湖是一种现代数据管理架构,它允许企业以低延迟的方式收集、存储和处理大量数据。与传统数据仓库不同,实时数据湖不依赖于预先定义的模式,而是采用灵活的架构,可以处理各种数据类型和格式。这种架构为企业提供了以下优势: - **实时洞察:**实时数据湖允许企业访问最新的数据,从而做出更明智的决策。 - **数据民主化:**实时数据湖使各种利益相关者都可
recommend-type

用 Python 画一个可以动的爱心

好的,我可以帮助您使用Python来绘制一个动态的爱心。您可以使用turtle库来实现。以下是实现代码: ```python import turtle import math # 设置画布和画笔 canvas = turtle.Screen() canvas.bgcolor("black") pencil = turtle.Turtle() pencil.speed(0) pencil.color("red", "pink") pencil.pensize(3) # 定义爱心函数 def draw_love(heart_size, x_offset=0, y_offset=0):
recommend-type

JSBSim Reference Manual

JSBSim参考手册,其中包含JSBSim简介,JSBSim配置文件xml的编写语法,编程手册以及一些应用实例等。其中有部分内容还没有写完,估计有生之年很难看到完整版了,但是内容还是很有参考价值的。