判断如下语句是否正确 wire [3:0] a; assign a = 4'b0000;

时间: 2023-12-10 21:37:51 浏览: 38
这个语句是错误的。在 Verilog 中,如果要将一个 4 位二进制数赋值给一个 4 位的 wire 变量,应该使用 4 位二进制数直接赋值,如下所示: ``` wire [3:0] a; assign a = 4'b0000; ``` 或者可以使用以下语法: ``` wire [3:0] a; assign a[3:0] = 4'b0000; ``` 其中,`4'b0000` 表示一个 4 位二进制数。
相关问题

判断如下语句是否正确并给出原因:wire [3:0]a; assign a=4'b0000;

这个语句是不正确的。 原因是,wire 变量是不能被赋值的,assign 语句是用来给 wire 变量或者连续赋值的,但是在这个例子里,assign 语句试图给 wire 变量 a 直接赋值,这是不允许的。 如果想要实现将一个 4 位 wire 变量赋值为 0,可以使用如下语句: ``` wire [3:0] a; assign a = 4'b0000; ```

纠正以下verilog语句: module controller(func,op,RegDst,RegWrite,ALUSrc,MemWrite,MemRead,MemtoReg,Branch,ALU_op ); input [5:0] op,func; output RegDst,RegWrite,ALUSrc,MemWrite,MemRead,MemtoReg,Branch; output [2:0] ALU_op; wire [1:0] ALUctr; reg RegDst,RegWrite,ALUSrc,MemWrite,MemRead,MemtoReg,Branch; reg [2:0] ALU_op; always @(posedge clk) begin case (op) 6'b000000: assign RegDst = 1&RegWrite = 1&ALUSrc = 0&MemWrite = 0&MemRead = 0&MemtoReg = 0&Branch = 0&AlUctr = 10; 6'b100011: assign RegDst = 0&RegWrite = 1&ALUSrc = 1&MemWrite = 0&MemRead = 1&MemtoReg = 1&Branch = 0&AlUctr = 00; 6'b101011: assign RegDst = 1&RegWrite = 0&ALUSrc = 1&MemWrite = 1&MemRead = 0&MemtoReg = 0&Branch = 0&AlUctr = 00; 6'b000100: assign RegDst = 1&RegWrite = 0&ALUSrc = 0&MemWrite = 0&MemRead = 0&MemtoReg = 0&Branch = 1&AlUctr = 01; 6'b001111: assign RegDst = 0&RegWrite = 1&ALUSrc = 1&MemWrite = 0&MemRead = 0&MemtoReg = 0&Branch = 0&AlUctr = 11; default : assign RegDst = 'bx&RegWrite = 'bx&ALUSrc = 'bx&MemWrite = 'bx&MemRead = 'bx&MemtoReg = 'bx&Branch = 'bx&AlUctr = 'bx; endcase end begin if (ALUctr == 10) case(func) 6'b100000: assign ALU_Op = 3'b000; 6'b100010: assign ALU_Op = 3'b100; 6'b100100: assign ALU_Op = 3'b001; 6'b100101: assign ALU_Op = 3'b101; 6'b100110: assign ALU_Op = 3'b010; default:assign ALU_Op='bx; endcase else if (ALUctr == 00) assign ALU_op = 3'b000; else if (ALUctr == 01) assign ALU_op = 3'b100; else if (ALUctr==11) assign ALU_op = 3'b110; end endmodule

module controller ( input [5:0] func, op, output RegDst, RegWrite, ALUSrc, MemWrite, MemRead, MemtoReg, Branch, output [2:0] ALU_op ); wire [1:0] ALUctr; reg RegDst, RegWrite, ALUSrc, MemWrite, MemRead, MemtoReg, Branch; reg [2:0] ALU_op; always @(posedge clk) begin case (op) 6'b000000: begin RegDst = 1; RegWrite = 1; ALUSrc = 0; MemWrite = 0; MemRead = 0; MemtoReg = 0; Branch = 0; ALUctr = 2'b10; end 6'b100011: begin RegDst = 0; RegWrite = 1; ALUSrc = 1; MemWrite = 0; MemRead = 1; MemtoReg = 1; Branch = 0; ALUctr = 2'b00; end 6'b101011: begin RegDst = 1; RegWrite = 0; ALUSrc = 1; MemWrite = 1; MemRead = 0; MemtoReg = 0; Branch = 0; ALUctr = 2'b00; end 6'b000100: begin RegDst = 1; RegWrite = 0; ALUSrc = 0; MemWrite = 0; MemRead = 0; MemtoReg = 0; Branch = 1; ALUctr = 2'b01; end 6'b001111: begin RegDst = 0; RegWrite = 1; ALUSrc = 1; MemWrite = 0; MemRead = 0; MemtoReg = 0; Branch = 0; ALUctr = 2'b11; end default: begin RegDst = 'bx; RegWrite = 'bx; ALUSrc = 'bx; MemWrite = 'bx; MemRead = 'bx; MemtoReg = 'bx; Branch = 'bx; ALUctr = 'bx; end endcase end always @(*) begin case (ALUctr) 2'b10: begin case (func) 6'b100000: ALU_op = 3'b000; 6'b100010: ALU_op = 3'b100; 6'b100100: ALU_op = 3'b001; 6'b100101: ALU_op = 3'b101; 6'b100110: ALU_op = 3'b010; default: ALU_op = 'bx; endcase end 2'b00: ALU_op = 3'b000; 2'b01: ALU_op = 3'b100; 2'b11: ALU_op = 3'b110; default: ALU_op = 'bx; endcase end endmodule

相关推荐

module Top( input sysclk, input rst_n, input [15:0] number, output reg [3:0] DIG, output [7:0] SEG ); parameter TIME_1ms=125_000; reg [16:0] cnt; reg [4:0] cur_state,next_state; localparam IDLE = 5'b00001; localparam LED1 = 5'b00010; localparam LED2 = 5'b00100; localparam LED3 = 5'b01000; localparam LED4 = 5'b10000; reg [3:0]num; wire [3:0]ge ; wire [3:0]shi ; wire [3:0]bai ; wire [3:0]qian ; assign ge = number%10; assign shi = number/10%10; assign bai = number/100%10; assign qian= number/1000; yima( .num (num), .seg (SEG) ); always@(posedge sysclk) if(!rst_n) cur_state <= IDLE; else cur_state <= next_state; always@(*) if(!rst_n) next_state = IDLE; else case(cur_state) IDLE :begin next_state = LED1; end LED1 :begin if(cnt == TIME_1ms - 1) next_state = LED2; else next_state = cur_state; end LED2 :begin if(cnt == TIME_1ms - 1) next_state = LED3; else next_state = cur_state; end LED3 :begin if(cnt == TIME_1ms - 1) next_state = LED4; else next_state = cur_state; end LED4 :begin if(cnt == TIME_1ms - 1) next_state = IDLE; else next_state = cur_state; end default:next_state = IDLE; endcase always@(posedge sysclk) if(!rst_n)begin num<=4'd0; DIG <=4'b1111; cnt <= 28'd0; end else case(cur_state) IDLE :begin num <= 4'd0; DIG <=4'b1111; cnt <= 28'd0; end LED1 :begin num <= ge; DIG <=4'b1110; if(cnt == TIME_1ms - 1) cnt <= 28'd0; else cnt <= cnt + 28'd1; end LED2 :begin num <= shi; DIG <=4'b1101; if(cnt == TIME_1ms - 1) cnt <= 28'd0; else cnt <= cnt + 28'd1; end LED3 :begin num <= bai; DIG <=4'b1011; if(cnt == TIME_1ms - 1) cnt <= 28'd0; else cnt <= cnt + 28'd1; end LED4 :begin num <= qian; DIG <=4'b0111; if(cnt == TIME_1ms - 1) cnt <= 28'd0; else cnt <= cnt + 28'd1; end default:begin num <= 4'd0; DIG <=4'b1111; cnt <= 28'd0; end endcase endmodule解释此代码

//将16位2进制数data转为5*4位BCD码 reg[3:0] dec_out0=4'h0; reg[3:0] dec_out1=4'h0; reg[3:0] dec_out2=4'h0; reg[3:0] dec_out3=4'h0; reg[3:0] dec_out4=4'h0; wire [15:0] product; assign product=data; wire [15:0] bin_in=product; wire[4:0] c_in; wire[4:0] c_out; reg [3:0] dec_sreg0=4'h0; reg [3:0] dec_sreg1=4'h0; reg [3:0] dec_sreg2=4'h0; reg [3:0] dec_sreg3=4'h0; reg [3:0] dec_sreg4=4'h0; wire[3:0] next_sreg0,next_sreg1,next_sreg2,next_sreg3,next_sreg4; reg [7:0] bit_cnt=8'h0; reg [15:0] bin_sreg; wire load=~|bit_cnt;//读入二进制数据,准备转换 wire convert_ready= (bit_cnt==8'h11);//转换成功 wire convert_end= (bit_cnt==8'h12);//完毕,重新开始 always @ (posedge clk) begin if(convert_end) bit_cnt<=4'h0; else bit_cnt<=bit_cnt+4'h1; end always @ (posedge clk) begin if(load) bin_sreg<=bin_in; else bin_sreg <={bin_sreg[14:0],1'b0}; end assign c_in[0] =bin_sreg[15]; assign c_in[1] =(dec_sreg0>=5); assign c_in[2] =(dec_sreg1>=5); assign c_in[3] =(dec_sreg2>=5); assign c_in[4] =(dec_sreg3>=5); assign c_out[0]=c_in[1]; assign c_out[1]=c_in[2]; assign c_out[2]=c_in[3]; assign c_out[3]=c_in[4]; assign c_out[4]=(dec_sreg4>=5); //确定移位输出 assign next_sreg0=c_out[0]? ({dec_sreg0[2:0],c_in[0]}+4'h6):({dec_sreg0[2:0],c_in[0]}); assign next_sreg1=c_out[1]? ({dec_sreg1[2:0],c_in[1]}+4'h6):({dec_sreg1[2:0],c_in[1]}); assign next_sreg2=c_out[2]? ({dec_sreg2[2:0],c_in[2]}+4'h6):({dec_sreg2[2:0],c_in[2]}); assign next_sreg3=c_out[3]? ({dec_sreg3[2:0],c_in[3]}+4'h6):({dec_sreg3[2:0],c_in[3]}); assign next_sreg4=c_out[4]? ({dec_sreg4[2:0],c_in[4]}+4'h6):({dec_sreg4[2:0],c_in[4]}); //装入数据 always @ (posedge clk) begin if(load) begin dec_sreg0<=4'h0; dec_sreg1<=4'h0; dec_sreg2<=4'h0; dec_sreg3<=4'h0; dec_sreg4<=4'h0; end else begin dec_sreg0<=next_sreg0; dec_sreg1<=next_sreg1; dec_sreg2<=next_sreg2; dec_sreg3<=next_sreg3; dec_sreg4<=next_sreg4; end end //输出 always @ (posedge clk) begin if(convert_ready) begin dec_out0<=dec_sreg0; dec_out1<=dec_sreg1; dec_out2<=dec_sreg2; dec_out3<=dec_sreg3; dec_out4<=dec_sreg4; end end

module xianshiqi( input clk , input rst_n , input [23:0]data,//待显示的数据 output wire[7:0] sel , output wire[7:0] seg ); //wire [24:0]data; // assign dig_seg = 8'd0; // assign dig_sel = 1'b0; reg [7:0] dig_sel; reg [7:0] dig_seg; localparam NUM_0 = 8'hC0, NUM_1 = 8'hF9, NUM_2 = 8'hA4, NUM_3 = 8'hB0, NUM_4 = 8'h99, NUM_5 = 8'h92, NUM_6 = 8'h82, NUM_7 = 8'hF8, NUM_8 = 8'h80, NUM_9 = 8'h90, NUM_A = 8'h88, NUM_B = 8'h83, NUM_C = 8'hC6, NUM_D = 8'hA1, NUM_E = 8'h86, NUM_F = 8'h8E, LIT_ALL = 8'h00, BLC_ALL = 8'hFF; parameter CNT_REF = 25'd1000; reg [9:0] cnt_20us; //20us计数器 reg [3:0] data_tmp; //用于取出不同位选的显示数据 // assign data = 32'hABCD_4413; //描述位选信号切换 //描述刷新计数器 always@(posedge clk or negedge rst_n)begin if(!rst_n)begin cnt_20us <= 25'd0; end else if(cnt_20us >= CNT_REF - 25'd1)begin cnt_20us <= 25'd0; end else begin cnt_20us <= cnt_20us + 25'd1; end end always@(posedge clk or negedge rst_n)begin if(!rst_n)begin dig_sel <= 8'hfe;//8'b1111_1110 end else if(cnt_20us >= CNT_REF - 25'd1)begin dig_sel <= {dig_sel[6:0],dig_sel[7]}; end else begin dig_sel <= dig_sel; end end assign sel = dig_sel; //段选信号描述 always@(posedge clk or negedge rst_n)begin if(!rst_n)begin data_tmp <= 4'd0; end else begin case(sel) 6'b11_1110:data_tmp <= data[ 3-:4]; 6'b11_1101:data_tmp <= data[ 7-:4]; 6'b11_1011:data_tmp <= data[11-:4]; 6'b11_0111:data_tmp <= data[15-:4]; 6'b10_1111:data_tmp <= data[19-:4]; 6'b01_1111:data_tmp <= data[23-:4]; default: data_tmp <= 4'hF; endcase end end always@(posedge clk or negedge rst_n)begin if(!rst_n)begin dig_seg <= BLC_ALL; end else begin case(data_tmp) 4'h0 : dig_seg <= NUM_0; 4'h1 : dig_seg <= NUM_1; 4'h2 : dig_seg <= NUM_2; 4'h3 : dig_seg <= NUM_3; 4'h4 : dig_seg <= NUM_4; 4'h5 : dig_seg <= NUM_5; 4'h6 : dig_seg <= NUM_6; 4'h7 : dig_seg <= NUM_7; 4'h8 : dig_seg <= NUM_8; 4'h9 : dig_seg <= NUM_9; 4'hA : dig_seg <= NUM_A; 4'hB : dig_seg <= NUM_B; 4'hC : dig_seg <= NUM_C; 4'hD : dig_seg <= NUM_D; 4'hE : dig_seg <= NUM_E; 4'hF : dig_seg <= NUM_F; default: ; endcase end end assign seg = dig_seg ; endmodule

最新推荐

recommend-type

基于嵌入式ARMLinux的播放器的设计与实现 word格式.doc

本文主要探讨了基于嵌入式ARM-Linux的播放器的设计与实现。在当前PC时代,随着嵌入式技术的快速发展,对高效、便携的多媒体设备的需求日益增长。作者首先深入剖析了ARM体系结构,特别是针对ARM9微处理器的特性,探讨了如何构建适用于嵌入式系统的嵌入式Linux操作系统。这个过程包括设置交叉编译环境,优化引导装载程序,成功移植了嵌入式Linux内核,并创建了适合S3C2410开发板的根文件系统。 在考虑到嵌入式系统硬件资源有限的特点,通常的PC机图形用户界面(GUI)无法直接应用。因此,作者选择了轻量级的Minigui作为研究对象,对其实体架构进行了研究,并将其移植到S3C2410开发板上,实现了嵌入式图形用户界面,使得系统具有简洁而易用的操作界面,提升了用户体验。 文章的核心部分是将通用媒体播放器Mplayer移植到S3C2410开发板上。针对嵌入式环境中的音频输出问题,作者针对性地解决了Mplayer播放音频时可能出现的不稳定性,实现了音乐和视频的无缝播放,打造了一个完整的嵌入式多媒体播放解决方案。 论文最后部分对整个项目进行了总结,强调了在嵌入式ARM-Linux平台上设计播放器所取得的成果,同时也指出了一些待改进和完善的方面,如系统性能优化、兼容性提升以及可能的扩展功能等。关键词包括嵌入式ARM-Linux、S3C2410芯片、Mplayer多媒体播放器、图形用户界面(GUI)以及Minigui等,这些都反映出本文研究的重点和领域。 通过这篇论文,读者不仅能了解到嵌入式系统与Linux平台结合的具体实践,还能学到如何在资源受限的环境中设计和优化多媒体播放器,为嵌入式技术在多媒体应用领域的进一步发展提供了有价值的经验和参考。
recommend-type

管理建模和仿真的文件

管理Boualem Benatallah引用此版本:布阿利姆·贝纳塔拉。管理建模和仿真。约瑟夫-傅立叶大学-格勒诺布尔第一大学,1996年。法语。NNT:电话:00345357HAL ID:电话:00345357https://theses.hal.science/tel-003453572008年12月9日提交HAL是一个多学科的开放存取档案馆,用于存放和传播科学研究论文,无论它们是否被公开。论文可以来自法国或国外的教学和研究机构,也可以来自公共或私人研究中心。L’archive ouverte pluridisciplinaire
recommend-type

Python字符串为空判断的动手实践:通过示例掌握技巧

![Python字符串为空判断的动手实践:通过示例掌握技巧](https://img-blog.csdnimg.cn/72f88d4fc1164d6c8b9c29d8ab5ed75c.png?x-oss-process=image/watermark,type_d3F5LXplbmhlaQ,shadow_50,text_Q1NETiBASGFyYm9yIExhdQ==,size_20,color_FFFFFF,t_70,g_se,x_16) # 1. Python字符串为空判断的基础理论 字符串为空判断是Python编程中一项基本且重要的任务。它涉及检查字符串是否为空(不包含任何字符),这在
recommend-type

box-sizing: border-box;作用是?

`box-sizing: border-box;` 是 CSS 中的一个样式属性,它改变了元素的盒模型行为。默认情况下,浏览器会计算元素内容区域(content)、内边距(padding)和边框(border)的总尺寸,也就是所谓的"标准盒模型"。而当设置为 `box-sizing: border-box;` 后,元素的总宽度和高度会包括内容、内边距和边框的总空间,这样就使得开发者更容易控制元素的实际布局大小。 具体来说,这意味着: 1. 内容区域的宽度和高度不会因为添加内边距或边框而自动扩展。 2. 边框和内边距会从元素的总尺寸中减去,而不是从内容区域开始计算。
recommend-type

经典:大学答辩通过_基于ARM微处理器的嵌入式指纹识别系统设计.pdf

本文主要探讨的是"经典:大学答辩通过_基于ARM微处理器的嵌入式指纹识别系统设计.pdf",该研究专注于嵌入式指纹识别技术在实际应用中的设计和实现。嵌入式指纹识别系统因其独特的优势——无需外部设备支持,便能独立完成指纹识别任务,正逐渐成为现代安全领域的重要组成部分。 在技术背景部分,文章指出指纹的独特性(图案、断点和交叉点的独一无二性)使其在生物特征认证中具有很高的可靠性。指纹识别技术发展迅速,不仅应用于小型设备如手机或门禁系统,也扩展到大型数据库系统,如连接个人电脑的桌面应用。然而,桌面应用受限于必须连接到计算机的条件,嵌入式系统的出现则提供了更为灵活和便捷的解决方案。 为了实现嵌入式指纹识别,研究者首先构建了一个专门的开发平台。硬件方面,详细讨论了电源电路、复位电路以及JTAG调试接口电路的设计和实现,这些都是确保系统稳定运行的基础。在软件层面,重点研究了如何在ARM芯片上移植嵌入式操作系统uC/OS-II,这是一种实时操作系统,能够有效地处理指纹识别系统的实时任务。此外,还涉及到了嵌入式TCP/IP协议栈的开发,这是实现系统间通信的关键,使得系统能够将采集的指纹数据传输到远程服务器进行比对。 关键词包括:指纹识别、嵌入式系统、实时操作系统uC/OS-II、TCP/IP协议栈。这些关键词表明了论文的核心内容和研究焦点,即围绕着如何在嵌入式环境中高效、准确地实现指纹识别功能,以及与外部网络的无缝连接。 这篇论文不仅深入解析了嵌入式指纹识别系统的硬件架构和软件策略,而且还展示了如何通过结合嵌入式技术和先进操作系统来提升系统的性能和安全性,为未来嵌入式指纹识别技术的实际应用提供了有价值的研究成果。
recommend-type

"互动学习:行动中的多样性与论文攻读经历"

多样性她- 事实上SCI NCES你的时间表ECOLEDO C Tora SC和NCESPOUR l’Ingén学习互动,互动学习以行动为中心的强化学习学会互动,互动学习,以行动为中心的强化学习计算机科学博士论文于2021年9月28日在Villeneuve d'Asq公开支持马修·瑟林评审团主席法布里斯·勒菲弗尔阿维尼翁大学教授论文指导奥利维尔·皮耶昆谷歌研究教授:智囊团论文联合主任菲利普·普雷教授,大学。里尔/CRISTAL/因里亚报告员奥利维耶·西格德索邦大学报告员卢多维奇·德诺耶教授,Facebook /索邦大学审查员越南圣迈IMT Atlantic高级讲师邀请弗洛里安·斯特鲁布博士,Deepmind对于那些及时看到自己错误的人...3谢谢你首先,我要感谢我的两位博士生导师Olivier和Philippe。奥利维尔,"站在巨人的肩膀上"这句话对你来说完全有意义了。从科学上讲,你知道在这篇论文的(许多)错误中,你是我可以依
recommend-type

Python字符串为空判断的常见问题解答:解决常见疑惑

![字符串为空判断](https://img-blog.csdnimg.cn/20210620130654176.png?x-oss-process=image/watermark,type_ZmFuZ3poZW5naGVpdGk,shadow_10,text_aHR0cHM6Ly9ibG9nLmNzZG4ubmV0L3dlaXhpbl8zOTQ0NTExNg==,size_16,color_FFFFFF,t_70) # 1. Python字符串为空判断的必要性 在Python编程中,字符串为空判断是至关重要的,它可以帮助我们处理各种场景,例如: - 数据验证:确保用户输入或从数据库获取的
recommend-type

c++ 中 static的作用

在C++中,static是一个常用的修饰符,它可以用来控制变量和函数的存储方式和可见性。static的作用主要有以下几个方面: 1. 静态局部变量:在函数内部定义的变量,加上static关键字后,该变量就被定义成为一个静态局部变量。静态局部变量只会被初始化一次,而且只能在函数内部访问,函数结束后仍然存在,直到程序结束才会被销毁。 2. 静态全局变量:在全局变量前加上static关键字,该变量就被定义成为一个静态全局变量。静态全局变量只能在当前文件中访问,其他文件无法访问,它的生命周期与程序的生命周期相同。 3. 静态成员变量:在类中定义的静态成员变量,可以被所有该类的对象共享,它的值在所
recommend-type

嵌入式系统课程设计.doc

嵌入式系统课程设计文档主要探讨了一个基于ARM微处理器的温度采集系统的设计与实现。该设计旨在通过嵌入式技术为核心,利用S3C44B0x ARM处理器作为主控单元,构建一个具备智能化功能的系统,包括温度数据的采集、传输、处理以及实时显示。设计的核心目标有以下几点: 1.1 设计目的: - 培养学生的综合应用能力:通过实际项目,学生可以将课堂上学到的理论知识应用于实践,提升对嵌入式系统架构、编程和硬件设计的理解。 - 提升问题解决能力:设计过程中会遇到各种挑战,如速度优化、可靠性增强、系统扩展性等,这有助于锻炼学生独立思考和解决问题的能力。 - 创新思维的培养:鼓励学生在传统数据采集系统存在的问题(如反应慢、精度低、可靠性差、效率低和操作繁琐)上进行改进,促进创新思维的发展。 2.1 设计要求: - 高性能:系统需要具有快速响应速度,确保实时性和准确性。 - 可靠性:系统设计需考虑长期稳定运行,应对各种环境条件和故障情况。 - 扩展性:设计时需预留接口,以便于未来添加更多功能或与其他设备集成。 3.1 硬件设计思路: - 选择了S3C44B0x ARM微处理器作为核心,其强大的处理能力和低功耗特性对于实时数据处理很关键。 - 单独的数据采集模块负责精确测量温度,可能涉及到传感器的选择和接口设计。 4.1 软件设计思路: - 应用RTOS(实时操作系统)来管理任务调度,提高系统的整体效率。 - 编写高效的程序清单,包括数据采集、处理算法和用户界面,确保用户体验良好。 5. 心得体会部分: - 学生可能会分享他们在项目中的学习收获,如团队协作的重要性、项目管理的经验以及如何在实践中优化系统性能。 总结,该设计不仅是一次技术实践,也是一次学习和成长的机会,它着重培养学生的工程实践能力、问题解决能力和创新能力,同时展示了嵌入式系统在现代工业中的实际应用价值。通过完成这个项目,学生将对嵌入式系统有更深入的理解,为未来的职业生涯打下坚实的基础。
recommend-type

关系数据表示学习

关系数据卢多维奇·多斯桑托斯引用此版本:卢多维奇·多斯桑托斯。关系数据的表示学习机器学习[cs.LG]。皮埃尔和玛丽·居里大学-巴黎第六大学,2017年。英语。NNT:2017PA066480。电话:01803188HAL ID:电话:01803188https://theses.hal.science/tel-01803188提交日期:2018年HAL是一个多学科的开放存取档案馆,用于存放和传播科学研究论文,无论它们是否被公开。论文可以来自法国或国外的教学和研究机构,也可以来自公共或私人研究中心。L’archive ouverte pluridisciplinaireUNIVERSITY PIERRE和 MARIE CURIE计算机科学、电信和电子学博士学院(巴黎)巴黎6号计算机科学实验室D八角形T HESIS关系数据表示学习作者:Ludovic DOS SAntos主管:Patrick GALLINARI联合主管:本杰明·P·伊沃瓦斯基为满足计算机科学博士学位的要求而提交的论文评审团成员:先生蒂埃里·A·退休记者先生尤尼斯·B·恩