改进的canny边缘检测matlab代码

时间: 2023-10-01 18:12:33 浏览: 31
以下是改进版的Canny边缘检测的MATLAB代码: ```matlab function [edgeImg, thresImg] = myCannyEdgeDetection(img, sigma, thresLow, thresHigh) % img: 输入图像 % sigma: 高斯核标准差 % thresLow, thresHigh: 双阈值 % 1. 高斯滤波 G = fspecial('gaussian',[5 5],sigma); img_smoothed = imfilter(img,G,'same'); % 2. 计算梯度幅值和方向 [dx, dy] = gradient(img_smoothed); grad_mag = sqrt(dx.^2 + dy.^2); grad_dir = atan2(dy, dx) * 180 / pi; % 3. 非极大值抑制 grad_mag_pad = padarray(grad_mag,[1 1],'replicate','both'); grad_dir_pad = padarray(grad_dir,[1 1],'replicate','both'); grad_mag_suppressed = zeros(size(grad_mag)); for i = 2:size(grad_mag_pad,1)-1 for j = 2:size(grad_mag_pad,2)-1 if grad_dir_pad(i,j) < 0 grad_dir_pad(i,j) = grad_dir_pad(i,j) + 180; end if (grad_dir_pad(i,j) >= 0 && grad_dir_pad(i,j) < 22.5) || (grad_dir_pad(i,j) >= 157.5 && grad_dir_pad(i,j) < 180) if grad_mag_pad(i,j) > grad_mag_pad(i-1,j) && grad_mag_pad(i,j) > grad_mag_pad(i+1,j) grad_mag_suppressed(i-1,j-1) = grad_mag_pad(i,j); end elseif (grad_dir_pad(i,j) >= 22.5 && grad_dir_pad(i,j) < 67.5) || (grad_dir_pad(i,j) >= 112.5 && grad_dir_pad(i,j) < 157.5) if grad_mag_pad(i,j) > grad_mag_pad(i-1,j-1) && grad_mag_pad(i,j) > grad_mag_pad(i+1,j+1) grad_mag_suppressed(i-1,j-1) = grad_mag_pad(i,j); end elseif (grad_dir_pad(i,j) >= 67.5 && grad_dir_pad(i,j) < 112.5) if grad_mag_pad(i,j) > grad_mag_pad(i,j-1) && grad_mag_pad(i,j) > grad_mag_pad(i,j+1) grad_mag_suppressed(i-1,j-1) = grad_mag_pad(i,j); end end end end % 4. 双阈值判定 thresLow = max(thresLow, 0); thresHigh = max(thresHigh, 0); if thresLow > thresHigh temp = thresLow; thresLow = thresHigh; thresHigh = temp; end grad_mag_thresholded = zeros(size(grad_mag_suppressed)); for i = 1:size(grad_mag_thresholded,1) for j = 1:size(grad_mag_thresholded,2) if grad_mag_suppressed(i,j) > thresHigh grad_mag_thresholded(i,j) = 1; elseif grad_mag_suppressed(i,j) > thresLow grad_mag_thresholded(i,j) = 0.5; end end end % 5. 联通分量分析 edgeImg = zeros(size(grad_mag_thresholded)); for i = 1:size(edgeImg,1) for j = 1:size(edgeImg,2) if grad_mag_thresholded(i,j) == 1 && edgeImg(i,j) == 0 edgeImg = DFS(i,j,edgeImg,grad_mag_thresholded); end end end % 6. 输出结果 edgeImg = uint8(edgeImg * 255); thresImg = uint8(grad_mag_thresholded * 255); end function edgeImg = DFS(i,j,edgeImg,grad_mag_thresholded) % DFS搜索联通分量 if i < 1 || i > size(edgeImg,1) || j < 1 || j > size(edgeImg,2) || edgeImg(i,j) == 1 || grad_mag_thresholded(i,j) < 0.5 return end edgeImg(i,j) = 1; edgeImg = DFS(i-1,j,edgeImg,grad_mag_thresholded); edgeImg = DFS(i+1,j,edgeImg,grad_mag_thresholded); edgeImg = DFS(i,j-1,edgeImg,grad_mag_thresholded); edgeImg = DFS(i,j+1,edgeImg,grad_mag_thresholded); end ``` 该代码与标准的Canny边缘检测流程相同,但在非极大值抑制和双阈值判定上进行了改进。非极大值抑制中,增加了对梯度方向的判断,以避免抑制非边缘处的噪声。双阈值判定中,增加了对阈值的处理,保证thresLow小于等于thresHigh。 函数返回两个结果:边缘二值图和双阈值二值图。

相关推荐

最新推荐

recommend-type

【图像融合】加权算法高分辨率和低分辨率图像融合(含清晰度)【含Matlab源码 4405期】.zip

Matlab领域上传的视频均有对应的完整代码,皆可运行,亲测可用,适合小白; 1、代码压缩包内容 主函数:main.m; 调用函数:其他m文件;无需运行 运行结果效果图; 2、代码运行版本 Matlab 2019b;若运行有误,根据提示修改;若不会,私信博主; 3、运行操作步骤 步骤一:将所有文件放到Matlab的当前文件夹中; 步骤二:双击打开main.m文件; 步骤三:点击运行,等程序运行完得到结果; 4、仿真咨询 如需其他服务,可私信博主或扫描视频QQ名片; 4.1 博客或资源的完整代码提供 4.2 期刊或参考文献复现 4.3 Matlab程序定制 4.4 科研合作
recommend-type

源代码-BASISBBS最易ASP论坛 v1.0.7.zip

源代码-BASISBBS最易ASP论坛 v1.0.7.zip
recommend-type

【图像去噪】高斯滤波+均值滤波+中值滤波+双边滤波图像去噪(含信噪比)【含Matlab源码 2747期】.zip

Matlab领域上传的视频均有对应的完整代码,皆可运行,亲测可用,适合小白; 1、代码压缩包内容 主函数:main.m; 调用函数:其他m文件;无需运行 运行结果效果图; 2、代码运行版本 Matlab 2019b;若运行有误,根据提示修改;若不会,私信博主; 3、运行操作步骤 步骤一:将所有文件放到Matlab的当前文件夹中; 步骤二:双击打开main.m文件; 步骤三:点击运行,等程序运行完得到结果; 4、仿真咨询 如需其他服务,可私信博主或扫描视频QQ名片; 4.1 博客或资源的完整代码提供 4.2 期刊或参考文献复现 4.3 Matlab程序定制 4.4 科研合作
recommend-type

华为2019创新大赛的工程文件+各种模型的backbone和tricks

华为大模型 华为2019创新大赛的工程文件+各种模型的backbone和tricks 华为2019创新大赛的工程文件+各种模型的backbone和tricks 华为2019创新大赛的工程文件+各种模型的backbone和tricks 华为2019创新大赛的工程文件+各种模型的backbone和tricks 华为2019创新大赛的工程文件+各种模型的backbone和tricks 华为2019创新大赛的工程文件+各种模型的backbone和tricks
recommend-type

【脑肿瘤检测】 GUI SOM脑肿瘤检测【含Matlab源码 2322期】.zip

【脑肿瘤检测】 GUI SOM脑肿瘤检测【含Matlab源码 2322期】
recommend-type

zigbee-cluster-library-specification

最新的zigbee-cluster-library-specification说明文档。
recommend-type

管理建模和仿真的文件

管理Boualem Benatallah引用此版本:布阿利姆·贝纳塔拉。管理建模和仿真。约瑟夫-傅立叶大学-格勒诺布尔第一大学,1996年。法语。NNT:电话:00345357HAL ID:电话:00345357https://theses.hal.science/tel-003453572008年12月9日提交HAL是一个多学科的开放存取档案馆,用于存放和传播科学研究论文,无论它们是否被公开。论文可以来自法国或国外的教学和研究机构,也可以来自公共或私人研究中心。L’archive ouverte pluridisciplinaire
recommend-type

实现实时数据湖架构:Kafka与Hive集成

![实现实时数据湖架构:Kafka与Hive集成](https://img-blog.csdnimg.cn/img_convert/10eb2e6972b3b6086286fc64c0b3ee41.jpeg) # 1. 实时数据湖架构概述** 实时数据湖是一种现代数据管理架构,它允许企业以低延迟的方式收集、存储和处理大量数据。与传统数据仓库不同,实时数据湖不依赖于预先定义的模式,而是采用灵活的架构,可以处理各种数据类型和格式。这种架构为企业提供了以下优势: - **实时洞察:**实时数据湖允许企业访问最新的数据,从而做出更明智的决策。 - **数据民主化:**实时数据湖使各种利益相关者都可
recommend-type

云原生架构与soa架构区别?

云原生架构和SOA架构是两种不同的架构模式,主要有以下区别: 1. 设计理念不同: 云原生架构的设计理念是“设计为云”,注重应用程序的可移植性、可伸缩性、弹性和高可用性等特点。而SOA架构的设计理念是“面向服务”,注重实现业务逻辑的解耦和复用,提高系统的灵活性和可维护性。 2. 技术实现不同: 云原生架构的实现技术包括Docker、Kubernetes、Service Mesh等,注重容器化、自动化、微服务等技术。而SOA架构的实现技术包括Web Services、消息队列等,注重服务化、异步通信等技术。 3. 应用场景不同: 云原生架构适用于云计算环境下的应用场景,如容器化部署、微服务
recommend-type

JSBSim Reference Manual

JSBSim参考手册,其中包含JSBSim简介,JSBSim配置文件xml的编写语法,编程手册以及一些应用实例等。其中有部分内容还没有写完,估计有生之年很难看到完整版了,但是内容还是很有参考价值的。