uvm_report_enabled的用法的例子
时间: 2023-12-03 12:02:42 浏览: 159
当使用UVM时,可以通过设置uvm_report_enabled参数来控制报告的级别。以下是一个使用uvm_report_enabled的示例:
```systemverilog
class my_test extends uvm_test;
`uvm_component_param_utils(my_test)
function new(string name, uvm_component parent);
super.new(name,parent);
endfunction
task run_phase(uvm_phase phase);
// 设置uvm_report_enabled参数
uvm_report_info("my_test", $sformatf("%s: start test", get_full_name()), UVM_LOW);
// 执行测试
...
// 输出报告
uvm_report_info("my_test", $sformatf("%s: test complete", get_full_name()), UVM_LOW);
endtask
endclass
```
在上述示例中,我们在run_phase中设置了uvm_report_enabled参数,并在测试开始和结束时使用uvm_report_info函数输出报告。在这里,我们将uvm_report_enabled设置为UVM_LOW,这意味着只会输出UVM_LOW级别的报告。如果要输出更高级别的报告,可以将uvm_report_enabled设置为UVM_MEDIUM、UVM_HIGH或UVM_ERROR等级别。
阅读全文