verilog hdlc实例

时间: 2023-12-24 08:03:52 浏览: 25
下面是一个简单的Verilog HDLC实例: module hdlc_rx ( input clk, input reset, input rx_data, input rx_valid, output rx_frame_valid, output reg [7:0] rx_frame_data ); reg [7:0] shift_reg; reg [7:0] crc_reg; reg [3:0] state; parameter IDLE = 4'd0; parameter FLAG_FOUND = 4'd1; parameter DATA_RECEIVED = 4'd2; parameter CRC_CHECK = 4'd3; parameter FRAME_COMPLETE = 4'd4; always @(posedge clk) begin if (reset) begin shift_reg <= 8'b00000000; crc_reg <= 8'b00000000; state <= IDLE; rx_frame_valid <= 0; rx_frame_data <= 8'b00000000; end else begin case (state) IDLE: begin if (rx_valid && rx_data == 8'b01111110) begin state <= FLAG_FOUND; end end FLAG_FOUND: begin if (rx_valid) begin shift_reg <= {shift_reg[6:0], rx_data}; if (rx_data == 8'b01111110) begin state <= FLAG_FOUND; end else if (shift_reg == 8'b00000001) begin state <= DATA_RECEIVED; end else begin state <= IDLE; end end end DATA_RECEIVED: begin if (rx_valid) begin shift_reg <= {shift_reg[6:0], rx_data}; crc_reg <= crc_reg ^ shift_reg; if (rx_data == 8'b01111110) begin state <= FLAG_FOUND; end else if (crc_reg == 8'b00000000) begin state <= CRC_CHECK; end else begin state <= IDLE; end end end CRC_CHECK: begin if (rx_valid && rx_data == 8'b01111110) begin rx_frame_data <= shift_reg[7:0]; rx_frame_valid <= 1; state <= FRAME_COMPLETE; end end FRAME_COMPLETE: begin if (rx_valid && rx_data == 8'b01111110) begin state <= FLAG_FOUND; end end endcase end end endmodule 这个模块实现了一个HDLC接收器,它使用一个有限状态机来接收数据帧。在接收到数据帧后,它会计算CRC并检查数据的完整性。如果数据完整,它会输出接收到的数据。

相关推荐

最新推荐

recommend-type

Verilog模块概念和实例化

模块(module)是verilog最基本的概念,是v设计中的基本单元,每个v设计的系统中都由若干module组成。在做模块划分时,通常会出现...verilog是通过模块调用或称为模块实例化的方式来实现这些子模块与高层模块的连接的。
recommend-type

verilog 编写数码管循环显示器

采用DE2核心FPGA 开发板,设计一个数码管循环显示程序。通过编程在数码管上显示八位英文字符和数字
recommend-type

verilog中latch问题

在很多地方都能看到,verilog中if与case语句必须完整,即if要加上else,case后要加上default语句,以防止锁存器的发生,接下来就来说说其中原因。
recommend-type

Verilog HDL 按位逻辑运算符

下表显示对于不同按位逻辑运算符按位操作的结果: 图7 按位逻辑运算符真值表例如,假定, 2004-08-16 版权所有,侵权必究第24页,共41页 绝密Verilog HDL 入门教程请输入文档编号 A = 'b0110;B = 'b0100; 那么:A B ...
recommend-type

拔河游戏机 verilog .doc

1、设计一个能进行拔河游戏的电路。 2、电路使用7个发光二极管,开机后只有中间一个发亮,此即拔河的中心点。 3、游戏双方各持一个按钮,迅速地、不断地按动,产生脉冲,谁按得快,亮点就向谁的方向移动,每按十次,...
recommend-type

zigbee-cluster-library-specification

最新的zigbee-cluster-library-specification说明文档。
recommend-type

管理建模和仿真的文件

管理Boualem Benatallah引用此版本:布阿利姆·贝纳塔拉。管理建模和仿真。约瑟夫-傅立叶大学-格勒诺布尔第一大学,1996年。法语。NNT:电话:00345357HAL ID:电话:00345357https://theses.hal.science/tel-003453572008年12月9日提交HAL是一个多学科的开放存取档案馆,用于存放和传播科学研究论文,无论它们是否被公开。论文可以来自法国或国外的教学和研究机构,也可以来自公共或私人研究中心。L’archive ouverte pluridisciplinaire
recommend-type

实现实时数据湖架构:Kafka与Hive集成

![实现实时数据湖架构:Kafka与Hive集成](https://img-blog.csdnimg.cn/img_convert/10eb2e6972b3b6086286fc64c0b3ee41.jpeg) # 1. 实时数据湖架构概述** 实时数据湖是一种现代数据管理架构,它允许企业以低延迟的方式收集、存储和处理大量数据。与传统数据仓库不同,实时数据湖不依赖于预先定义的模式,而是采用灵活的架构,可以处理各种数据类型和格式。这种架构为企业提供了以下优势: - **实时洞察:**实时数据湖允许企业访问最新的数据,从而做出更明智的决策。 - **数据民主化:**实时数据湖使各种利益相关者都可
recommend-type

list根据id查询pid 然后依次获取到所有的子节点数据

可以使用递归的方式来实现根据id查询pid并获取所有子节点数据。具体实现可以参考以下代码: ``` def get_children_nodes(nodes, parent_id): children = [] for node in nodes: if node['pid'] == parent_id: node['children'] = get_children_nodes(nodes, node['id']) children.append(node) return children # 测试数
recommend-type

JSBSim Reference Manual

JSBSim参考手册,其中包含JSBSim简介,JSBSim配置文件xml的编写语法,编程手册以及一些应用实例等。其中有部分内容还没有写完,估计有生之年很难看到完整版了,但是内容还是很有参考价值的。