将上面两个代码结合成一个代码并写出仿真代码

时间: 2024-03-14 13:46:31 浏览: 24
以下是将两个模块结合成一个模块的代码,并附带仿真代码: ``` module vlg_echo_and_cal( input i_clk, input i_rst_n, input i_clk_en, input i_echo, output reg[13:0] o_s_mm, output reg[15:0] o_t_us ); reg[1:0] r_echo; wire pos_echo, neg_echo; reg r_cnt_en; reg[15:0] r_echo_cnt; wire[25:0] w_mult_result; mult_gen_0 uut_mult_gen_0 ( .CLK(i_clk), .A(10'd709), .B(r_echo_cnt), .P(w_mult_result) ); always @(posedge i_clk) begin if (!i_rst_n) begin r_echo <= 'b0; r_cnt_en <= 'b0; r_echo_cnt <= 'b0; o_t_us <= 'b0; o_s_mm <= 'b0; end else begin r_echo <= {r_echo[0], i_echo}; if (pos_echo) begin r_cnt_en <= 'b1; end else if (neg_echo) begin r_cnt_en <= 'b0; o_t_us <= r_echo_cnt; end else begin // do nothing end if (!r_cnt_en) begin r_echo_cnt <= 'b0; end else if (i_clk_en) begin r_echo_cnt <= r_echo_cnt + 1; end else begin // do nothing end o_s_mm <= w_mult_result[25:12]; end end assign pos_echo = r_echo[0] & ~r_echo[1]; assign neg_echo = ~r_echo[0] & r_echo[1]; endmodule module tb_vlg_echo_and_cal; reg i_clk; reg i_rst_n; reg i_clk_en; reg i_echo; wire[13:0] o_s_mm; wire[15:0] o_t_us; vlg_echo_and_cal uut_vlg_echo_and_cal ( .i_clk(i_clk), .i_rst_n(i_rst_n), .i_clk_en(i_clk_en), .i_echo(i_echo), .o_s_mm(o_s_mm), .o_t_us(o_t_us) ); initial begin i_clk = 0; i_rst_n = 0; i_clk_en = 0; i_echo = 0; #10 i_rst_n = 1; #10 i_echo = 1; #10 i_echo = 0; #10 i_clk_en = 1; #100 $finish; end always #5 i_clk = ~i_clk; endmodule ``` 仿真代码: ``` `timescale 1ns / 1ps module tb_vlg_echo_and_cal; reg i_clk; reg i_rst_n; reg i_clk_en; reg i_echo; wire[13:0] o_s_mm; wire[15:0] o_t_us; vlg_echo_and_cal uut_vlg_echo_and_cal ( .i_clk(i_clk), .i_rst_n(i_rst_n), .i_clk_en(i_clk_en), .i_echo(i_echo), .o_s_mm(o_s_mm), .o_t_us(o_t_us) ); initial begin i_clk = 0; i_rst_n = 0; i_clk_en = 0; i_echo = 0; #10 i_rst_n = 1; #10 i_echo = 1; #10 i_echo = 0; #10 i_clk_en = 1; #100 $finish; end always #5 i_clk = ~i_clk; endmodule ```

相关推荐

最新推荐

recommend-type

2层设计-2.4G RF高频信号收发模块硬件(cadence原理图+PADS PCB图+BOM)文件.zip

2层设计-2.4G RF高频信号收发模块硬件(cadence原理图+PADS PCB图+BOM)文件,可供学习及设计参考。
recommend-type

JAVA文件传输(lw+源代码).zip

FTP(File Transfer Protocol)是文件传输协议的简称。 FTP的主要作用,就是让用户连接上一个远程计算机(这些计算机上运行着FTP服务器程序)查看远程计算机有哪些文件,然后把文件从远程计算机上拷到本地计算机,或把本地计算机的文件送到远程计算机去。 目前FTP服务器软件都为国外作品,例如Server_U、IIS,国内成熟的FTP服务器软件很少,有一些如(Crob FTP Server),但从功能上看来远不能和那些流行的服务器软件媲美。
recommend-type

zigbee-cluster-library-specification

最新的zigbee-cluster-library-specification说明文档。
recommend-type

管理建模和仿真的文件

管理Boualem Benatallah引用此版本:布阿利姆·贝纳塔拉。管理建模和仿真。约瑟夫-傅立叶大学-格勒诺布尔第一大学,1996年。法语。NNT:电话:00345357HAL ID:电话:00345357https://theses.hal.science/tel-003453572008年12月9日提交HAL是一个多学科的开放存取档案馆,用于存放和传播科学研究论文,无论它们是否被公开。论文可以来自法国或国外的教学和研究机构,也可以来自公共或私人研究中心。L’archive ouverte pluridisciplinaire
recommend-type

实现实时数据湖架构:Kafka与Hive集成

![实现实时数据湖架构:Kafka与Hive集成](https://img-blog.csdnimg.cn/img_convert/10eb2e6972b3b6086286fc64c0b3ee41.jpeg) # 1. 实时数据湖架构概述** 实时数据湖是一种现代数据管理架构,它允许企业以低延迟的方式收集、存储和处理大量数据。与传统数据仓库不同,实时数据湖不依赖于预先定义的模式,而是采用灵活的架构,可以处理各种数据类型和格式。这种架构为企业提供了以下优势: - **实时洞察:**实时数据湖允许企业访问最新的数据,从而做出更明智的决策。 - **数据民主化:**实时数据湖使各种利益相关者都可
recommend-type

解答下列问题:S—>S;T|T;T—>a 构造任意项目集规范族,构造LR(0)分析表,并分析a;a

对于这个文法,我们可以构造以下项目集规范族: I0: S -> .S S -> .T T -> .a I1: S -> S. [$ T -> T. [$ I2: S -> T. I3: S -> S.;S S -> S.;T T -> T.;a 其中,点(.)表示已经被扫描过的符号,;$表示输入串的结束符号。 根据项目集规范族,我们可以构造出LR(0)分析表: 状态 | a | $ ---- | - | - I0 | s3| I1 | |acc I2 | | 其中s3表示移进到状态3,acc表示接受。在分析字符串a;a时,我们可以按照以下步骤进行
recommend-type

JSBSim Reference Manual

JSBSim参考手册,其中包含JSBSim简介,JSBSim配置文件xml的编写语法,编程手册以及一些应用实例等。其中有部分内容还没有写完,估计有生之年很难看到完整版了,但是内容还是很有参考价值的。
recommend-type

"互动学习:行动中的多样性与论文攻读经历"

多样性她- 事实上SCI NCES你的时间表ECOLEDO C Tora SC和NCESPOUR l’Ingén学习互动,互动学习以行动为中心的强化学习学会互动,互动学习,以行动为中心的强化学习计算机科学博士论文于2021年9月28日在Villeneuve d'Asq公开支持马修·瑟林评审团主席法布里斯·勒菲弗尔阿维尼翁大学教授论文指导奥利维尔·皮耶昆谷歌研究教授:智囊团论文联合主任菲利普·普雷教授,大学。里尔/CRISTAL/因里亚报告员奥利维耶·西格德索邦大学报告员卢多维奇·德诺耶教授,Facebook /索邦大学审查员越南圣迈IMT Atlantic高级讲师邀请弗洛里安·斯特鲁布博士,Deepmind对于那些及时看到自己错误的人...3谢谢你首先,我要感谢我的两位博士生导师Olivier和Philippe。奥利维尔,"站在巨人的肩膀上"这句话对你来说完全有意义了。从科学上讲,你知道在这篇论文的(许多)错误中,你是我可以依
recommend-type

实现实时监控告警系统:Kafka与Grafana整合

![实现实时监控告警系统:Kafka与Grafana整合](https://imgconvert.csdnimg.cn/aHR0cHM6Ly9tbWJpei5xcGljLmNuL21tYml6X2pwZy9BVldpY3ladXVDbEZpY1pLWmw2bUVaWXFUcEdLT1VDdkxRSmQxZXB5R1lxaWNlUjA2c0hFek5Qc3FyRktudFF1VDMxQVl3QTRXV2lhSWFRMEFRc0I1cW1ZOGcvNjQw?x-oss-process=image/format,png) # 1.1 Kafka集群架构 Kafka集群由多个称为代理的服务器组成,这
recommend-type

mac上和window原生一样的历史剪切板工具有什么

好的,我明白了您的要求。关于您的问题,为使Mac与Windows的历史剪切板功能类似,可以使用第三方工具"CopyClip"、"Alfred"、"Clipboard Manager"等。这些工具可以记录您复制到剪切板的所有内容,而不仅仅是最后一次复制。同时,它们还可以进行搜索和过滤,以便您更快地找到需要的内容。希望这能回答您的问题。