用SVA写个代码检查复位信号RST,当data=0xAD时RST由高电平到低电平,保持1~n个周期后RST再由低电平到高电平
时间: 2024-03-23 08:38:09 浏览: 109
Synopsys_SVA_OVL检查库应用指南
下面是一个SVA代码示例,用于检查当data=0xAD时,复位信号(RST)在高电平到低电平、保持低电平1~n个周期后再到高电平的过程中是否正确触发了。
```
property rst_check;
@(posedge clk)
(data == 8'hAD && rst == 1) |-> ##1 (rst == 0) |-> ##[1:$n] (rst == 0) |-> ##1 (rst == 1);
endproperty
assert property (rst_check);
```
在这个SVA代码中,我们同样使用了property来定义一个名为rst_check的属性,表示当data=0xAD时,复位信号(RST)在上升沿到下降沿、保持低电平1~n个周期后再到上升沿的过程中是否正确触发。具体来说,该代码首先等待时钟(clk)的上升沿,并检查数据信号(data)是否等于0xAD,同时检查复位信号(RST)是否为高电平(1)。如果是,那么它会等待一个时钟周期,然后再次检查复位信号(RST)是否为低电平(0)。如果是,那么它会等待1到n个时钟周期,然后再次检查复位信号(RST)是否为低电平(0)。如果是,那么它会等待一个时钟周期,然后再次检查复位信号(RST)是否为高电平(1)。如果这个过程中任何一步检查失败,那么该属性将返回false。
同样地,我们使用assert语句来检查该属性是否始终为真。如果不是,那么将会触发一个错误,表明复位信号(RST)触发的顺序不正确。其中,$n$应该被替换为实际的保持周期数。
阅读全文