用verilog写无符号数乘法器及测试语句
时间: 2024-05-20 08:17:29 浏览: 118
Verilog编写的浮点数加法器,无符号。
以下是Verilog代码和测试语句,实现无符号数乘法器:
module unsigned_multiplier(
input [31:0] a,
input [31:0] b,
output reg [63:0] result
);
reg [31:0] product [0:31];
integer i;
always @(*) begin
for (i = 0; i < 32; i = i + 1) begin
product[i] = a * b[i];
end
result = {product[0], 32'b0} + {product[1], 31'b0} + {product[2], 30'b0} + {product[3], 29'b0} + {product[4], 28'b0}
+ {product[5], 27'b0} + {product[6], 26'b0} + {product[7], 25'b0} + {product[8], 24'b0} + {product[9], 23'b0}
+ {product[10], 22'b0} + {product[11], 21'b0} + {product[12], 20'b0} + {product[13], 19'b0} + {product[14], 18'b0}
+ {product[15], 17'b0} + {product[16], 16'b0} + {product[17], 15'b0} + {product[18], 14'b0} + {product[19], 13'b0}
+ {product[20], 12'b0} + {product[21], 11'b0} + {product[22], 10'b0} + {product[23], 9'b0} + {product[24], 8'b0}
+ {product[25], 7'b0} + {product[26], 6'b0} + {product[27], 5'b0} + {product[28], 4'b0} + {product[29], 3'b0}
+ {product[30], 2'b0} + {product[31], 1'b0};
end
endmodule
测试语句:
module test_unsigned_multiplier;
reg [31:0] a, b;
wire [63:0] result;
integer i;
unsigned_multiplier dut(
.a(a),
.b(b),
.result(result)
);
initial begin
$monitor("a=%h, b=%h, result=%h", a, b, result);
for (i = 0; i < 10; i = i + 1) begin
a = $random;
b = $random;
#10;
end
$finish;
end
endmodule
阅读全文