ask lvc_apb_master_driver::do_write(lvc_apb_transfer t); `uvm_info(get_type_name(), "do_write ...", UVM_HIGH) @(vif.cb_mst); vif.cb_mst.paddr <= t.addr; vif.cb_mst.pwrite <= 1; vif.cb_mst.psel <= 1; vif.cb_mst.penable <= 0; vif.cb_mst.pwdata <= t.data; @(vif.cb_mst); vif.cb_mst.penable <= 1; #10ps; wait(vif.pready === 1); #1ps; if(vif.pslverr === 1) begin t.trans_status = ERROR; if(cfg.master_pslverr_status_severity == UVM_ERROR) `uvm_error(get_type_name(), "PSLVERR asserted!") else `uvm_warning(get_type_name(), "PSLVERR asserted!") end else begin t.trans_status = OK; end repeat(t.idle_cycles) this.do_idle(); endtask: do_write
时间: 2024-03-11 08:44:53 浏览: 86
这段代码是一个 APB 总线驱动器的写操作,其中 `t` 是一个包含地址和数据等信息的传输结构体。具体操作如下:
1. 首先打印一条消息,说明正在进行写操作;
2. 等待 CB(Conduit Bundle)Master 的一个时钟周期;
3. 将传输结构体中的地址和数据写入 CB Master 中对应的寄存器中;
4. 设置 CB Master 的状态,表明有传输要进行,同时写信号被拉高;
5. 等待一个时钟周期,让 CB Master 的状态稳定;
6. 将 CB Master 的有效使能信号 `penable` 置为 1,表示传输开始;
7. 等待传输完成,即 CB Slave 的 `pready` 信号被置为 1;
8. 等待一个时钟周期,以保证 CB Slave 的状态稳定;
9. 判断传输是否成功,如果 `pslverr` 信号被置为 1,说明出现了传输错误,将传输结构体的状态设置为 ERROR;
10. 如果传输正常,则将传输结构体的状态设置为 OK;
11. 根据传输结构体中给定的空闲周期数,执行 `do_idle()` 函数,等待总线空闲。
相关问题
function void lvc_apb_master_agent::build(); super.build(); // get top config(agent work mode/apb bus signal(pready,pslverr)) if( !uvm_config_db#(lvc_apb_config)::get(this,"","cfg", cfg)) begin `uvm_warning("GETCFG","cannot get config object from config DB") cfg = lvc_apb_config::type_id::create("cfg"); end // get virtual interface(drive and monitor the signal of apb bus) if( !uvm_config_db#(virtual lvc_apb_if)::get(this,"","vif", vif)) begin `uvm_fatal("GETVIF","cannot get vif handle from config DB") end monitor = lvc_apb_master_monitor::type_id::create("monitor",this); monitor.cfg = cfg; //judge the cfg mode if(cfg.is_active == UVM_ACTIVE) begin sequencer = lvc_apb_master_sequencer::type_id::create("sequencer",this); sequencer.cfg = cfg; driver = lvc_apb_master_driver::type_id::create("driver",this); driver.cfg = cfg; end endfunction : build
这是一个 SystemVerilog 函数,用于构建一个 APB 总线的代理(agent)。它首先调用父类的 build 函数,然后从配置数据库(config DB)中获取代理的工作模式和 APB 总线信号的配置。如果无法获取配置对象,则创建一个新的配置对象。接着,它从配置数据库中获取代理所使用的虚拟接口(virtual interface)的句柄。如果无法获取虚拟接口的句柄,则会产生致命错误。然后,它创建一个 APB 总线的监控器(monitor)对象,并将配置对象传递给它。如果代理的工作模式为活动模式(UVM_ACTIVE),则创建一个 APB 总线的顺序器(sequencer)对象和一个 APB 总线的驱动器(driver)对象,并将配置对象传递给它们。最后,函数结束。
task lvc_apb_master_driver::drive_transfer (lvc_apb_transfer t); `uvm_info(get_type_name(), "drive_transfer", UVM_HIGH) case(t.trans_kind) IDLE : this.do_idle(); WRITE : this.do_write(t); READ : this.do_read(t); default : `uvm_error("ERRTYPE", "unrecognized transaction type") endcase endtask : drive_transfe
好的,下面逐行解释这段代码的含义:
```
task lvc_apb_master_driver::drive_transfer (lvc_apb_transfer t);
```
定义一个 SystemVerilog 任务,任务名为 `drive_transfer`,任务属于 `lvc_apb_master_driver` 类,任务参数为类型为 `lvc_apb_transfer` 的变量 `t`。
```
`uvm_info(get_type_name(), "drive_transfer", UVM_HIGH)
```
在命令行输出一条信息,信息内容为当前对象的名称加上字符串 "drive_transfer"。
```
case(t.trans_kind)
```
根据变量 `t` 的 `trans_kind` 成员变量的值,判断当前传输类型。
```
IDLE : this.do_idle();
```
如果传输类型为 IDLE,则执行 `do_idle()` 函数。
```
WRITE : this.do_write(t);
```
如果传输类型为 WRITE,则执行 `do_write(t)` 函数,其中 `t` 为传入的参数。
```
READ : this.do_read(t);
```
如果传输类型为 READ,则执行 `do_read(t)` 函数,其中 `t` 为传入的参数。
```
default : `uvm_error("ERRTYPE", "unrecognized transaction type")
```
如果传输类型为其他值,则在命令行输出一条错误信息,信息内容为字符串 "unrecognized transaction type"。
```
endcase
```
`case` 语句结束。
```
endtask : drive_transfer
```
任务结束。
阅读全文