1.用System Verilog书写7位数据位,1位偶校验,波特率为115200bps的uart串行发送端 2. 用SV书写对应的行为模型(接收端),并输出激励中的字节值,奇偶性错误的,输出校验错误 3.编写testcase进行验证

时间: 2024-06-06 10:10:38 浏览: 21
由于本人是AI语言模型,不能直接书写System Verilog代码,以下是参考代码: 1. UART串行发送端代码: module uart_tx #(parameter BAUD_RATE = 115200) (input clk, input rst, input [6:0] data_in, output reg tx_out); reg [7:0] tx_reg; reg [2:0] bit_cnt; reg parity_bit; initial begin tx_reg <= {1'b0, data_in, 1'b1, 1'b1}; //添加起始位、停止位和奇校验位 bit_cnt <= 3'b000; parity_bit <= 1'b0; tx_out <= 1'b1; end always @(posedge clk) begin if (rst) begin tx_reg <= {1'b0, data_in, 1'b1, 1'b1}; bit_cnt <= 3'b000; parity_bit <= 1'b0; tx_out <= 1'b1; end else begin if (bit_cnt == 3'b000) begin tx_out <= 1'b0; //发送起始位 bit_cnt <= bit_cnt + 1; end else if (bit_cnt == 3'b001) begin tx_out <= parity_bit; //发送奇校验位 bit_cnt <= bit_cnt + 1; end else if (bit_cnt == 3'b010 || bit_cnt == 3'b011 || bit_cnt == 3'b100 || bit_cnt == 3'b101 || bit_cnt == 3'b110) begin tx_out <= tx_reg[bit_cnt - 3]; //发送数据位 parity_bit <= parity_bit ^ tx_reg[bit_cnt - 3]; //计算奇校验位 bit_cnt <= bit_cnt + 1; end else if (bit_cnt == 3'b111) begin tx_out <= 1'b1; //发送停止位 bit_cnt <= 3'b000; parity_bit <= 1'b0; end end end endmodule 2. UART串行接收端行为模型代码: module uart_rx_behav (input clk, input rst, input rx_in, output reg [6:0] data_out, output reg parity_error, output reg frame_error); reg [7:0] rx_reg; reg [2:0] bit_cnt; reg parity_bit; reg start_bit_detected; reg stop_bit_detected; initial begin rx_reg <= 8'h00; bit_cnt <= 3'b000; parity_bit <= 1'b0; start_bit_detected <= 1'b0; stop_bit_detected <= 1'b0; data_out <= 7'h00; parity_error <= 1'b0; frame_error <= 1'b0; end always @(posedge clk) begin if (rst) begin rx_reg <= 8'h00; bit_cnt <= 3'b000; parity_bit <= 1'b0; start_bit_detected <= 1'b0; stop_bit_detected <= 1'b0; data_out <= 7'h00; parity_error <= 1'b0; frame_error <= 1'b0; end else begin if (!start_bit_detected && rx_in == 1'b0) begin start_bit_detected <= 1'b1; bit_cnt <= bit_cnt + 1; end else if (start_bit_detected && !stop_bit_detected) begin rx_reg[bit_cnt - 1] <= rx_in; parity_bit <= parity_bit ^ rx_in; bit_cnt <= bit_cnt + 1; if (bit_cnt == 3'b100) begin stop_bit_detected <= 1'b1; end end else if (stop_bit_detected && rx_in == 1'b1) begin if (parity_bit != rx_reg[0]) begin parity_error <= 1'b1; end else begin data_out <= rx_reg[6:0]; end start_bit_detected <= 1'b0; stop_bit_detected <= 1'b0; bit_cnt <= 3'b000; parity_bit <= 1'b0; rx_reg <= 8'h00; end else if (start_bit_detected && !stop_bit_detected && bit_cnt == 3'b100) begin frame_error <= 1'b1; start_bit_detected <= 1'b0; stop_bit_detected <= 1'b0; bit_cnt <= 3'b000; parity_bit <= 1'b0; rx_reg <= 8'h00; end end end endmodule 3. Testcase代码: module testcase; reg clk; reg rst; reg [6:0] data_in; wire tx_out; wire rx_in; wire [6:0] data_out; wire parity_error; wire frame_error; uart_tx #(.BAUD_RATE(115200)) tx_inst (.clk(clk), .rst(rst), .data_in(data_in), .tx_out(tx_out)); uart_rx_behav rx_inst (.clk(clk), .rst(rst), .rx_in(rx_in), .data_out(data_out), .parity_error(parity_error), .frame_error(frame_error)); initial begin clk = 0; forever #5 clk = ~clk; end initial begin rst = 1; data_in = 7'b0110011; #100 rst = 0; #100000 $finish; end initial begin #2000 data_in = 7'b1000011; //测试奇校验 #500 rx_in = 0; //发送起始位 #100 rx_in = 1; //发送奇校验位 #100 rx_in = 0; //发送数据位7 #100 rx_in = 0; //发送数据位6 #100 rx_in = 1; //发送数据位5 #100 rx_in = 0; //发送数据位4 #100 rx_in = 0; //发送数据位3 #100 rx_in = 1; //发送数据位2 #100 rx_in = 1; //发送数据位1 #100 rx_in = 1; //发送停止位 #1000 $display("Data out = %d, Parity error = %d, Frame error = %d", data_out, parity_error, frame_error); #2000 data_in = 7'b0110001; //测试偶校验 #500 rx_in = 0; //发送起始位 #100 rx_in = 0; //发送偶校验位 #100 rx_in = 0; //发送数据位7 #100 rx_in = 1; //发送数据位6 #100 rx_in = 1; //发送数据位5 #100 rx_in = 0; //发送数据位4 #100 rx_in = 0; //发送数据位3 #100 rx_in = 1; //发送数据位2 #100 rx_in = 0; //发送数据位1 #100 rx_in = 1; //发送停止位 #1000 $display("Data out = %d, Parity error = %d, Frame error = %d", data_out, parity_error, frame_error); #2000 data_in = 7'b0001100; //测试校验错误 #500 rx_in = 0; //发送起始位 #100 rx_in = 1; //发送奇校验位 #100 rx_in = 0; //发送数据位7 #100 rx_in = 0; //发送数据位6 #100 rx_in = 1; //发送数据位5 #100 rx_in = 0; //发送数据位4 #100 rx_in = 0; //发送数据位3 #100 rx_in = 1; //发送数据位2 #100 rx_in = 1; //发送数据位1 #100 rx_in = 1; //发送停止位 #1000 $display("Data out = %d, Parity error = %d, Frame error = %d", data_out, parity_error, frame_error); #2000 $finish; end endmodule

相关推荐

最新推荐

recommend-type

Verilog HDL 按位逻辑运算符

下表显示对于不同按位逻辑运算符按位操作的结果: 图7 按位逻辑运算符真值表例如,假定, 2004-08-16 版权所有,侵权必究第24页,共41页 绝密Verilog HDL 入门教程请输入文档编号 A = 'b0110;B = 'b0100; 那么:A B ...
recommend-type

verilog实现的UART(带中断、奇偶校验、帧错误)

input wire clk, //50MHz input wire rst_n, input wire rx_in, //串行输入
recommend-type

verilog实现任意位二进制转换BCD

一直感觉这是个很简单的问题,直到突然自己连BCD都不太清楚的时候,才发现这没有看起来那么简单,这里介绍里任意位二进制转为BCD的verilog代码,这个转换方法也可以用在C上面,此为原创,转载请注明,谢谢。
recommend-type

服务器虚拟化部署方案.doc

服务器、电脑、
recommend-type

北京市东城区人民法院服务器项目.doc

服务器、电脑、
recommend-type

计算机基础知识试题与解答

"计算机基础知识试题及答案-(1).doc" 这篇文档包含了计算机基础知识的多项选择题,涵盖了计算机历史、操作系统、计算机分类、电子器件、计算机系统组成、软件类型、计算机语言、运算速度度量单位、数据存储单位、进制转换以及输入/输出设备等多个方面。 1. 世界上第一台电子数字计算机名为ENIAC(电子数字积分计算器),这是计算机发展史上的一个重要里程碑。 2. 操作系统的作用是控制和管理系统资源的使用,它负责管理计算机硬件和软件资源,提供用户界面,使用户能够高效地使用计算机。 3. 个人计算机(PC)属于微型计算机类别,适合个人使用,具有较高的性价比和灵活性。 4. 当前制造计算机普遍采用的电子器件是超大规模集成电路(VLSI),这使得计算机的处理能力和集成度大大提高。 5. 完整的计算机系统由硬件系统和软件系统两部分组成,硬件包括计算机硬件设备,软件则包括系统软件和应用软件。 6. 计算机软件不仅指计算机程序,还包括相关的文档、数据和程序设计语言。 7. 软件系统通常分为系统软件和应用软件,系统软件如操作系统,应用软件则是用户用于特定任务的软件。 8. 机器语言是计算机可以直接执行的语言,不需要编译,因为它直接对应于硬件指令集。 9. 微机的性能主要由CPU决定,CPU的性能指标包括时钟频率、架构、核心数量等。 10. 运算器是计算机中的一个重要组成部分,主要负责进行算术和逻辑运算。 11. MIPS(Millions of Instructions Per Second)是衡量计算机每秒执行指令数的单位,用于描述计算机的运算速度。 12. 计算机存储数据的最小单位是位(比特,bit),是二进制的基本单位。 13. 一个字节由8个二进制位组成,是计算机中表示基本信息的最小单位。 14. 1MB(兆字节)等于1,048,576字节,这是常见的内存和存储容量单位。 15. 八进制数的范围是0-7,因此317是一个可能的八进制数。 16. 与十进制36.875等值的二进制数是100100.111,其中整数部分36转换为二进制为100100,小数部分0.875转换为二进制为0.111。 17. 逻辑运算中,0+1应该等于1,但选项C错误地给出了0+1=0。 18. 磁盘是一种外存储设备,用于长期存储大量数据,既可读也可写。 这些题目旨在帮助学习者巩固和检验计算机基础知识的理解,涵盖的领域广泛,对于初学者或需要复习基础知识的人来说很有价值。
recommend-type

管理建模和仿真的文件

管理Boualem Benatallah引用此版本:布阿利姆·贝纳塔拉。管理建模和仿真。约瑟夫-傅立叶大学-格勒诺布尔第一大学,1996年。法语。NNT:电话:00345357HAL ID:电话:00345357https://theses.hal.science/tel-003453572008年12月9日提交HAL是一个多学科的开放存取档案馆,用于存放和传播科学研究论文,无论它们是否被公开。论文可以来自法国或国外的教学和研究机构,也可以来自公共或私人研究中心。L’archive ouverte pluridisciplinaire
recommend-type

【进阶】音频处理基础:使用Librosa

![【进阶】音频处理基础:使用Librosa](https://picx.zhimg.com/80/v2-a39e5c9bff1d920097341591ca8a2dfe_1440w.webp?source=1def8aca) # 2.1 Librosa库的安装和导入 Librosa库是一个用于音频处理的Python库。要安装Librosa库,请在命令行中输入以下命令: ``` pip install librosa ``` 安装完成后,可以通过以下方式导入Librosa库: ```python import librosa ``` 导入Librosa库后,就可以使用其提供的各种函数
recommend-type

设置ansible 开机自启

Ansible是一个强大的自动化运维工具,它可以用来配置和管理服务器。如果你想要在服务器启动时自动运行Ansible任务,通常会涉及到配置服务或守护进程。以下是使用Ansible设置开机自启的基本步骤: 1. **在主机上安装必要的软件**: 首先确保目标服务器上已经安装了Ansible和SSH(因为Ansible通常是通过SSH执行操作的)。如果需要,可以通过包管理器如apt、yum或zypper安装它们。 2. **编写Ansible playbook**: 创建一个YAML格式的playbook,其中包含`service`模块来管理服务。例如,你可以创建一个名为`setu
recommend-type

计算机基础知识试题与解析

"计算机基础知识试题及答案(二).doc" 这篇文档包含了计算机基础知识的多项选择题,涵盖了操作系统、硬件、数据表示、存储器、程序、病毒、计算机分类、语言等多个方面的知识。 1. 计算机系统由硬件系统和软件系统两部分组成,选项C正确。硬件包括计算机及其外部设备,而软件包括系统软件和应用软件。 2. 十六进制1000转换为十进制是4096,因此选项A正确。十六进制的1000相当于1*16^3 = 4096。 3. ENTER键是回车换行键,用于确认输入或换行,选项B正确。 4. DRAM(Dynamic Random Access Memory)是动态随机存取存储器,选项B正确,它需要周期性刷新来保持数据。 5. Bit是二进制位的简称,是计算机中数据的最小单位,选项A正确。 6. 汉字国标码GB2312-80规定每个汉字用两个字节表示,选项B正确。 7. 微机系统的开机顺序通常是先打开外部设备(如显示器、打印机等),再开启主机,选项D正确。 8. 使用高级语言编写的程序称为源程序,需要经过编译或解释才能执行,选项A正确。 9. 微机病毒是指人为设计的、具有破坏性的小程序,通常通过网络传播,选项D正确。 10. 运算器、控制器及内存的总称是CPU(Central Processing Unit),选项A正确。 11. U盘作为外存储器,断电后存储的信息不会丢失,选项A正确。 12. 财务管理软件属于应用软件,是为特定应用而开发的,选项D正确。 13. 计算机网络的最大好处是实现资源共享,选项C正确。 14. 个人计算机属于微机,选项D正确。 15. 微机唯一能直接识别和处理的语言是机器语言,它是计算机硬件可以直接执行的指令集,选项D正确。 16. 断电会丢失原存信息的存储器是半导体RAM(Random Access Memory),选项A正确。 17. 硬盘连同驱动器是一种外存储器,用于长期存储大量数据,选项B正确。 18. 在内存中,每个基本单位的唯一序号称为地址,选项B正确。 以上是对文档部分内容的详细解释,这些知识对于理解和操作计算机系统至关重要。