`timescale 1ns / 1ps ////////////////////////////////////////////////////////////////////////////////// module md_tf ; reg rst ; reg clk16x ; reg mdi ; reg clk1x_enable ; reg clk1x ; reg nrz ; reg [3:0] no_bits_rcvd ; reg sample ; reg rdn ; wire [7:0] dout ; md u1 (rst,clk16x,mdi,rdn,dout,data_ready) ; initial begin rst = 1'b0 ; clk16x = 1'b0 ; mdi = 1'b0 ; rdn = 1'b1 ; end integer md_chann ; initial begin md_chann=$fopen("md.rpt") ; $timeformat(-9,,,5) ; end parameter clock_period = 100 ; always #(clock_period/2) clk16x = ~clk16x ; initial begin $fdisplay(md_chann,"Verilog simulation of Manchester decoder\n\n") ; $shm_open("md.shm") ; $shm_probe("AS") ; $fmonitor(md_chann,"Time=%t,rst=%b,clk16=%b,clk1x=%b,mdi=%b,nrz=%b,no_bits_rcvd=%b,sample=%b,dout=%h,data_ready=%b",$time,rst,clk16x,md.clk1x_enable,md.clk1x,mdi,md.nrz,md.no_bits_rcvd,md.sample,dout,data_ready) ; #1 rst = 1'b1 ; #100 rst = 1'b0 ; // Input 8 logic 0s #800 mdi = 1'b1 ; #800 mdi = 1'b0 ; #800 mdi = 1'b1 ; #800 mdi = 1'b0 ; #800 mdi = 1'b1 ; #800 mdi = 1'b0 ; #800 mdi = 1'b1 ; #800 mdi = 1'b0 ; #800 mdi = 1'b1 ; #800 mdi = 1'b0 ; #800 mdi = 1'b1 ; #800 mdi = 1'b0 ; #800 mdi = 1'b1 ; #800 mdi = 1'b0 ; #800 mdi = 1'b1 ; #800 mdi = 1'b0 ; #1600 rdn = 1'b0 ; #800 rdn = 1'b1 ; #3200 // Input 8 logic 1s #800 mdi = 1'b1 ; #800 mdi = 1'b0 ; #800 mdi = 1'b1 ; #800 mdi = 1'b0 ; #800 mdi = 1'b1 ; #800 mdi = 1'b0 ; #800 mdi = 1'b1 ; #800 mdi = 1'b0 ; #800 mdi = 1'b1 ; #800 mdi = 1'b0 ; #800 mdi = 1'b1 ; #800 mdi = 1'b0 ; #800 mdi = 1'b1 ; #800 mdi = 1'b0 ; #800 mdi = 1'b1 ; #800 mdi = 1'b0 ; #2400 rdn = 1'b0 ; #800 rdn = 1'b1 ; // Input alternating 1s, 0s #1600 mdi = 1'b1 ; #1600 mdi = 1'b0 ; #1600 mdi = 1'b1 ; #1600 mdi = 1'b0 ; #1600 mdi = 1'b1 ; #1600 mdi = 1'b0 ; #1600 mdi = 1'b1 ; #1600 mdi = 1'b0 ; #1600 rst = 1'b1 ; $fdisplay (md_chann,"\nSimulation of Manchester decoder is complete.") ; $finish ; end endmodule解释代码
时间: 2024-03-30 21:34:35 浏览: 437
重庆大学数字逻辑2019年期末试题
这是一个 Verilog 代码模块,用于模拟 Manchester 解码器的工作。代码中定义了多个寄存器和线路,包括 rst、clk16x、mdi、clk1x_enable、clk1x、nrz、no_bits_rcvd、sample、rdn 和 dout 等。
代码中的 md 模块实例化了一个 Manchester 解码器,通过 rst、clk16x、mdi、rdn、dout 和 data_ready 等输入和输出信号进行工作。
代码中通过 initial 块进行了一些初始化设置,例如将 rst 和 clk16x 设为 0、设置 $timeformat 和 $shm_open 等。
代码中还定义了一个 clock_period 参数,用于控制时钟周期。通过 always 块和 # 操作符实现了时钟信号 clk16x 的周期性变化。
在 initial 块中,通过 $fdisplay 和 $fmonitor 实现了对模拟器的监控和输出。
最后,通过 $finish 命令结束了模拟过程。
阅读全文