Verilog的QPSK解调器,采用下变频costas环和Gardner环的的代码

时间: 2024-05-02 13:20:48 浏览: 9
以下是Verilog的QPSK解调器代码,使用下变频Costas环和Gardner环: ``` module qpsk_demod(input clk, input rst, input [7:0] i_data, input [7:0] q_data, output reg [7:0] data_out); reg [7:0] i_signal, q_signal, i_delayed, q_delayed, i_early, i_late, q_early, q_late, i_error, q_error; reg [7:0] i_prev, q_prev, i_prev2, q_prev2; reg [7:0] i_phase, q_phase; reg [1:0] phase_select; //Down conversion reg [7:0] cos_out, sin_out; reg [7:0] cos_delayed, sin_delayed; //Costas Loop reg [7:0] i_filtered, q_filtered; reg [7:0] i_phase_error, q_phase_error; reg [7:0] i_integrator, q_integrator; reg [7:0] i_error_filtered, q_error_filtered; //Gardner Loop reg [7:0] i_early_filtered, q_early_filtered, i_late_filtered, q_late_filtered; reg [7:0] i_early_error, q_early_error, i_late_error, q_late_error; reg [7:0] i_error_diff, q_error_diff; reg [7:0] i_error_integrator, q_error_integrator; reg [7:0] i_error_delayed, q_error_delayed; reg [7:0] i_output, q_output; parameter PHASE_0 = 0, PHASE_PI_2 = 1, PHASE_PI = 2, PHASE_3_PI_2 = 3; initial begin i_prev <= 0; q_prev <= 0; i_prev2 <= 0; q_prev2 <= 0; i_phase <= 0; q_phase <= 0; phase_select <= PHASE_0; i_integrator <= 0; q_integrator <= 0; i_error_filtered <= 0; q_error_filtered <= 0; i_error_integrator <= 0; q_error_integrator <= 0; end //Down conversion always @(posedge clk) begin cos_delayed <= cos_out; sin_delayed <= sin_out; cos_out <= i_data * i_signal - q_data * q_signal; sin_out <= i_data * q_signal + q_data * i_signal; end //Costas Loop always @(posedge clk) begin i_filtered <= (cos_out + cos_delayed) / 2; q_filtered <= (sin_out + sin_delayed) / 2; i_phase_error <= q_filtered * i_phase - i_filtered * q_phase; q_phase_error <= i_filtered * q_phase + q_filtered * i_phase; i_integrator <= i_integrator + i_phase_error; q_integrator <= q_integrator + q_phase_error; i_error_filtered <= i_phase_error - q_integrator; q_error_filtered <= q_phase_error + i_integrator; end //Gardner Loop always @(posedge clk) begin i_early <= i_filtered - i_error_filtered; i_late <= i_filtered + i_error_filtered; q_early <= q_filtered - q_error_filtered; q_late <= q_filtered + q_error_filtered; i_early_filtered <= (i_early + i_prev2) / 2; i_late_filtered <= (i_late + i_prev) / 2; q_early_filtered <= (q_early + q_prev2) / 2; q_late_filtered <= (q_late + q_prev) / 2; i_early_error <= i_early_filtered - i_late_filtered; q_early_error <= q_early_filtered - q_late_filtered; i_error_diff <= i_early_error - i_late_error; q_error_diff <= q_early_error - q_late_error; i_error_integrator <= i_error_integrator + i_error_diff; q_error_integrator <= q_error_integrator + q_error_diff; i_error_delayed <= i_error_integrator - (i_error_integrator >> 2); q_error_delayed <= q_error_integrator - (q_error_integrator >> 2); i_output <= i_error_delayed + i_filtered; q_output <= q_error_delayed + q_filtered; end //Phase selection always @(posedge clk) begin case(phase_select) PHASE_0: begin i_signal <= 255; q_signal <= 0; if(i_output < 0) phase_select <= PHASE_PI_2; end PHASE_PI_2: begin i_signal <= 0; q_signal <= 255; if(q_output < 0) phase_select <= PHASE_PI; end PHASE_PI: begin i_signal <= -255; q_signal <= 0; if(i_output > 0) phase_select <= PHASE_3_PI_2; end PHASE_3_PI_2: begin i_signal <= 0; q_signal <= -255; if(q_output > 0) phase_select <= PHASE_0; end endcase end //Output data always @(posedge clk) begin data_out <= i_prev2; i_prev2 <= i_prev; i_prev <= i_output; q_prev2 <= q_prev; q_prev <= q_output; end endmodule ```

相关推荐

最新推荐

recommend-type

verilog_代码编写软件UE_高亮

今天有用UE查看verilog程序,下载的UE是破解版的,打开后灰蒙蒙的一片,很不爽的,于是搜索一番,下面是一段用于verilog在UE中高亮显示的程序,可以用的。以备后用。
recommend-type

基于FPGA的数字式GPS接收机载波环设计与实现

针对GPS信号的BPSK调制和强度微弱等特点,模拟GPS 接收机基带数字信号处理过程,首先介绍了科斯塔斯(Costas)接收机的工作原理,分析研究了基于FPGA的软件无线电载波同步技术的实现方法,并采用Costas 环实现了载波...
recommend-type

AHB总线下的slave ram的verilog代码.pdf

AHB到APB总线转换的桥verilog代码 AHB主要用于高性能模块(如CPU、DMA和DSP等)之间的连接,作为SoC的片上系统总线,它包括以下一些特性:单个时钟边沿操作;非三态的实现方式;支持突发传输;支持分段传输;支持多个...
recommend-type

基于FPGA的PWM的Verilog代码

同时通过四个按键,实现对计数器最大值和比较强输入基数的控制,通过按键实现脉冲宽度的加减,和pwm周期的增加与减少。从而实现pwm的可调。
recommend-type

基于FPGA的键盘输入verilog代码

通过对系统时钟提供的频率进行分频,分别为键盘扫描电路和弹跳消除电路提供时钟信号,键盘扫描电路通过由键盘扫描时钟信号控制不断产生的键盘扫描信号对键盘进行行扫描,同时弹跳消除电路实时的对键盘的按键列信号...
recommend-type

zigbee-cluster-library-specification

最新的zigbee-cluster-library-specification说明文档。
recommend-type

管理建模和仿真的文件

管理Boualem Benatallah引用此版本:布阿利姆·贝纳塔拉。管理建模和仿真。约瑟夫-傅立叶大学-格勒诺布尔第一大学,1996年。法语。NNT:电话:00345357HAL ID:电话:00345357https://theses.hal.science/tel-003453572008年12月9日提交HAL是一个多学科的开放存取档案馆,用于存放和传播科学研究论文,无论它们是否被公开。论文可以来自法国或国外的教学和研究机构,也可以来自公共或私人研究中心。L’archive ouverte pluridisciplinaire
recommend-type

MATLAB柱状图在信号处理中的应用:可视化信号特征和频谱分析

![matlab画柱状图](https://img-blog.csdnimg.cn/3f32348f1c9c4481a6f5931993732f97.png) # 1. MATLAB柱状图概述** MATLAB柱状图是一种图形化工具,用于可视化数据中不同类别或组的分布情况。它通过绘制垂直条形来表示每个类别或组中的数据值。柱状图在信号处理中广泛用于可视化信号特征和进行频谱分析。 柱状图的优点在于其简单易懂,能够直观地展示数据分布。在信号处理中,柱状图可以帮助工程师识别信号中的模式、趋势和异常情况,从而为信号分析和处理提供有价值的见解。 # 2. 柱状图在信号处理中的应用 柱状图在信号处理
recommend-type

get() { return this.photoState },

这是一个 JavaScript 中的方法定义,它定义了一个名为 `get` 的方法。这个方法没有参数,它返回了 `this.photoState`。在这个方法中,`this` 是指当前对象,而 `photoState` 是该对象的一个属性。通常情况下,`get` 方法用于获取对象的属性值,并且可以在获取属性值之前进行一些逻辑操作。
recommend-type

JSBSim Reference Manual

JSBSim参考手册,其中包含JSBSim简介,JSBSim配置文件xml的编写语法,编程手册以及一些应用实例等。其中有部分内容还没有写完,估计有生之年很难看到完整版了,但是内容还是很有参考价值的。