vivado项目重命名
时间: 2023-11-08 13:00:39 浏览: 266
Rename an Existing Visual Studio project
5星 · 资源好评率100%
要在Vivado项目中重命名默认的时钟为clk_100m,你可以使用以下命令:
create_generated_clock -name clk_100m -source [get_pins u_my_pll/inst/plle2_adv_inst/CLKIN1] -multiply_by 2 -add -master_clock clk_in [get_pins u_my_pll/inst/plle2_adv_inst/CLKOUT0]
这个命令将会把名为clk_100m的时钟从u_my_pll/inst/plle2_adv_inst/CLKOUT0派生出来,并且将其源时钟设置为u_my_pll/inst/plle2_adv_inst/CLKIN1。该时钟的频率是源时钟的两倍,并且会被添加到主时钟clk_in上。
如果你想将新的时钟clk_out1_my_pll设置为false path,你可以使用以下命令:
set_false_path -from [get_clocks clk_out1_my_pll] -to [get_clocks clk_100m]
这个命令将会告诉综合工具忽略从时钟clk_out1_my_pll到时钟clk_100m的路径上的任何时序检查。
阅读全文