用vhdl编写数字正弦信号生成程序,正弦信号的参数为:幅度为5V,频率根据实际情况可调整。
时间: 2024-05-29 21:11:52 浏览: 21
entity sin_gen is
Port ( clk : in STD_LOGIC;
sine_out : out STD_LOGIC_VECTOR (7 downto 0));
end sin_gen;
architecture Behavioral of sin_gen is
signal counter : integer range 0 to 50 := 0;
signal sine : integer range 0 to 255 := 0;
begin
process(clk)
begin
if rising_edge(clk) then
if counter = 50 then
counter <= 0;
sine <= sine + 1;
if sine > 255 then
sine <= 0;
end if;
else
counter <= counter + 1;
end if;
end if;
end process;
sine_out <= std_logic_vector(to_unsigned(integer(5*sin(2*3.14159*sine/256)), 8));
end Behavioral;
相关推荐
![pdf](https://img-home.csdnimg.cn/images/20210720083512.png)
![doc](https://img-home.csdnimg.cn/images/20210720083327.png)
![doc](https://img-home.csdnimg.cn/images/20210720083327.png)
![](https://csdnimg.cn/download_wenku/file_type_ask_c1.png)
![](https://csdnimg.cn/download_wenku/file_type_ask_c1.png)
![](https://csdnimg.cn/download_wenku/file_type_ask_c1.png)
![](https://csdnimg.cn/download_wenku/file_type_ask_c1.png)
![](https://csdnimg.cn/download_wenku/file_type_ask_c1.png)
![](https://csdnimg.cn/download_wenku/file_type_ask_c1.png)
![](https://csdnimg.cn/download_wenku/file_type_ask_c1.png)
![](https://csdnimg.cn/download_wenku/file_type_ask_c1.png)
![](https://csdnimg.cn/download_wenku/file_type_ask_c1.png)
![](https://csdnimg.cn/download_wenku/file_type_ask_c1.png)
![](https://csdnimg.cn/download_wenku/file_type_ask_c1.png)
![](https://csdnimg.cn/download_wenku/file_type_ask_c1.png)
![](https://csdnimg.cn/download_wenku/file_type_ask_c1.png)
![](https://csdnimg.cn/download_wenku/file_type_ask_c1.png)
![](https://csdnimg.cn/download_wenku/file_type_ask_c1.png)