下面这段代码在,smg1=0,然后又smg1=1是什么意思?如果把smg1=0删去会怎么样?如果把smg1=1删去又会怎么样?if(fuhao<5) {//第一个数 P0=smgduan[a%10];smg1=0;delay(100);smg1=1;//第一个数 switch(fuhao)//符号 { case 1:P0=0x01;break;//加 case 2:P0=0x40;break;//减 case 3:P0=0x08;break;//乘 case 4:P0=0x80;break;//除 default:P0=0; } smg2=0;delay(100);smg2=1;//符号 P0=smgduan[b%10];smg3=0;delay(100);smg3=1;//第二个数 } else//计算结果 { P0=0x09;smg1=0;delay(100);smg1=1;//等于 //结果 P0=smgduan[c%100/10];smg2=0;delay(100);smg2=1;//十位 P0=smgduan[c%10];smg3=0;delay(100);smg3=1;//个位 }

时间: 2023-10-17 08:05:14 浏览: 50
这段代码是一个计算器的程序。其中,smg1、smg2、smg3 是三个数码管的控制信号,用于控制三个数码管的显示。当 smg1=0 时,控制数码管 smg1 的引脚输出低电平,从而使得 smg1 显示对应的数字;当 smg1=1 时,控制数码管 smg1 的引脚输出高电平,从而关闭 smg1 的显示。在代码中,smg1=0 和 smg1=1 的作用是控制显示第一个数和等于号的数码管 smg1。 如果把 smg1=0 删去,程序在显示第一个数时就不会控制 smg1 的引脚输出低电平,从而无法显示第一个数。因此,删去 smg1=0 会导致程序无法正常显示第一个数。 如果把 smg1=1 删去,程序在显示第一个数或等于号后不会控制 smg1 的引脚输出高电平,从而会一直显示 smg1 对应的数字。因此,删去 smg1=1 会导致程序无法正常切换数码管的显示。
相关问题

下面这段代码在,smg1=0,然后又smg1=1是什么意思?如果把smg1=0删去会怎么样?if(fuhao<5) {//第一个数 P0=smgduan[a%10];smg1=0;delay(100);smg1=1;//第一个数 switch(fuhao)//符号 { case 1:P0=0x01;break;//加 case 2:P0=0x40;break;//减 case 3:P0=0x08;break;//乘 case 4:P0=0x80;break;//除 default:P0=0; } smg2=0;delay(100);smg2=1;//符号 P0=smgduan[b%10];smg3=0;delay(100);smg3=1;//第二个数 } else//计算结果 { P0=0x09;smg1=0;delay(100);smg1=1;//等于 //结果 P0=smgduan[c%100/10];smg2=0;delay(100);smg2=1;//十位 P0=smgduan[c%10];smg3=0;delay(100);smg3=1;//个位 }

这段代码是一个计算器的程序。其中,smg1、smg2、smg3 是三个数码管的控制信号,用于控制三个数码管的显示。当 smg1=0 时,控制数码管 smg1 的引脚输出低电平,从而使得 smg1 显示对应的数字;当 smg1=1 时,控制数码管 smg1 的引脚输出高电平,从而关闭 smg1 的显示。在代码中,smg1=0 和 smg1=1 的作用是控制显示第一个数和等于号的数码管 smg1。 如果把 smg1=0 删去,程序在显示第一个数时就不会控制 smg1 的引脚输出低电平,从而无法显示第一个数。因此,删去 smg1=0 会导致程序无法正常显示第一个数。

下面这段代码在,smg1=0,然后又smg1=1是什么意思?if(fuhao<5) {//第一个数 P0=smgduan[a%10];smg1=0;delay(100);smg1=1;//第一个数 switch(fuhao)//符号 { case 1:P0=0x01;break;//加 case 2:P0=0x40;break;//减 case 3:P0=0x08;break;//乘 case 4:P0=0x80;break;//除 default:P0=0; } smg2=0;delay(100);smg2=1;//符号 P0=smgduan[b%10];smg3=0;delay(100);smg3=1;//第二个数 } else//计算结果 { P0=0x09;smg1=0;delay(100);smg1=1;//等于 //结果 P0=smgduan[c%100/10];smg2=0;delay(100);smg2=1;//十位 P0=smgduan[c%10];smg3=0;delay(100);smg3=1;//个位 }

这段代码是一个计算器的程序。当输入的符号数量小于5时,先显示输入的第一个数,然后根据输入的符号显示对应的符号,再显示输入的第二个数。在显示数字和符号时,分别使用三个数码管 smg1、smg2、smg3,通过 smgduan 数组来指定显示的数字。在显示完第二个数后,程序会进入计算结果的分支,此时先显示等于号,然后根据计算结果显示相应的数值。smg1=0 和 smg1=1 的作用是控制显示第一个数和等于号的数码管 smg1。当 smg1=0 时,控制 smg1 的引脚输出低电平,从而使得显示第一个数;当 smg1=1 时,控制 smg1 的引脚输出高电平,从而关闭 smg1 的显示。

相关推荐

要求:对下列代码进行注释 代码如下:#include "reg51.h" sbit smg1=P2^0;//数码管 sbit smg2=P2^1; sbit smg3=P2^2; sbit smg4=P2^3; sbit smg5=P2^4; sbit smg6=P2^5; unsigned int a=0,b=0; //输入 unsigned char fuhao=0;//符号 unsigned int c=0;//结果 unsigned char code smgduan[10]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f};//0~9 void delay(unsigned int i)//延时函数 { while(i--); } unsigned char key_scan()//按键检测 { unsigned char i,j; i=0; j=0; P1=0x0f; if(P1!=0x0f) //被按下 { switch(P1)//检测行 { case 0x0e:i=3;break;//第四行 case 0x0d:i=2;break;//第三行 case 0x0b:i=1;break;//第二行 case 0x07:i=0;//第一行 } P1=0xf0; switch(P1)//检测列 { case 0xe0:j=13;break;//第四列 case 0xd0:j=9;break;//第三列 case 0xb0:j=5;break;//第二列 case 0x70:j=1;//第一列 } while(P1!=0xf0);//等待按键松开 } return i+j; } void main()//主函数 { unsigned char i; while(1) { //显示功能 if(fuhao<5) {//第一个数 P0=smgduan[a%10];smg1=0;delay(100);smg1=1;//第一个数 switch(fuhao)//符号 { case 1:P0=0x01;break;//加 case 2:P0=0x40;break;//减 case 3:P0=0x08;break;//乘 case 4:P0=0x80;break;//除 default:P0=0; } smg2=0;delay(100);smg2=1;//符号 P0=smgduan[b%10];smg3=0;delay(100);smg3=1;//第二个数 } else//计算结果 { P0=0x09;smg1=0;delay(100);smg1=1;//等于 //结果 P0=smgduan[c%100/10];smg2=0;delay(100);smg2=1;//十位 P0=smgduan[c%10];smg3=0;delay(100);smg3=1;//个位 } //计算功能 i=key_scan();//检测 if((i>0)&&(i<11))//输入数值 { if(fuhao==0)//第一个数 { a=i-1; } else //第二个数 { b=i-1; } } if(i==13)//加 { fuhao=1; } if(i==14)//减 { fuhao=2; } if(i==15)//乘 { fuhao=3; } if(i==16)//除 { fuhao=4; } if(i==11)//等于 { switch(fuhao) { case 1:c=a+b;break; case 2:c=a-b;break; case 3:c=a;c=c*b;break; case 4:c=a/b; } fuhao=5; } if(i==12)//归零 { a=0; b=0; c=0; fuhao=0; } } }

解释代码:library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity b8_count is port(clk0:in std_logic; updown:in std_logic; clr:in std_logic; cs:out std_logic_vector (5 downto 0); smg:out std_logic_vector (7 downto 0)); end entity b8_count; architecture one of b8_count is signal clk1:std_logic; --用于刷新数码管 signal clk2:std_logic; --用于上升沿计数 component frequency is port(clk_in:in std_logic; clk_out1:out std_logic; clk_out2:out std_logic); end component frequency; begin u1:frequency port map(clk_in=>clk0,clk_out1=>clk1,clk_out2=>clk2); p1:process(clk0,updown,clr) variable flag:integer range 0 to 2:=0; --数码管片选标志位 variable arr:std_logic_vector(7 downto 0); --定义八位变量 variable count:integer range 0 to 255:=0; variable ge:integer range 0 to 9:=0; variable shi:integer range 0 to 9:=0; variable bai:integer range 0 to 9:=0; begin if clr='1' then arr:="00000000"; elsif clr='0' then if rising_edge(clk2) then if updown='1' --加1 then arr:=arr+"00000001"; elsif updown='0' then arr:=arr-"00000001"; end if; end if; end if; count:=conv_integer(arr); ge:=count mod 10; shi:=(count mod 100)/10; bai:=count/100; if rising_edge(clk1) then if flag=0 then cs<="111110"; --选第三个数码管 case ge is --0-9 when 0=>smg<="00111111"; when 1=>smg<="00000110"; when 2=>smg<="01011011"; when 3=>smg<="01001111"; when 4=>smg<="01100110"; when 5=>smg<="01101101"; when 6=>smg<="01111101"; when 7=>smg<="00000111"; when 8=>smg<="01111111"; when 9=>smg<="01101111"; end case; flag:=1; elsif flag=1 then cs<="111101"; --选中第二个数码管 case shi is --0-9 when 0=>smg<="00111111"; when 1=>smg<="00000110"; when 2=>smg<="01011011"; when 3=>smg<="01001111"; when 4=>smg<="01100110"; when 5=>smg<="01101101"; when 6=>smg<="01111101"; when 7=>smg<="00000111"; when 8=>smg<="01111111"; when 9=>smg<="01101111"; end case; flag:=2; elsif flag=2 then cs<="111011"; --选中第一个数码管 case bai is --0-9 when 0=>smg<="00111111"; when 1=>smg<="00000110"; when 2=>smg<="01011011"; when 3=>smg<="01001111"; when 4=>smg<="01100110"; when 5=>smg<="01101101"; when 6=>smg<="01111101"; when 7=>smg<="00000111"; when 8=>smg<="01111111"; when 9=>smg<="01101111"; end case; flag:=0; end if; end if; end process p1; end architecture one;

要求:分析代码 代码如下:#include "reg51.h" sbit smg1=P2^0;//数码管 sbit smg2=P2^1; sbit smg3=P2^2; sbit smg4=P2^3; sbit smg5=P2^4; sbit smg6=P2^5; unsigned int a=0,b=0; //输入 unsigned char fuhao=0;//符号 unsigned int c=0;//结果 unsigned char code smgduan[10]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f};//0~9 void delay(unsigned int i)//延时函数 { while(i--); } unsigned char key_scan()//按键检测 { unsigned char i,j; i=0; j=0; P1=0x0f; if(P1!=0x0f) //被按下 { switch(P1)//检测行 { case 0x0e:i=3;break;//第四行 case 0x0d:i=2;break;//第三行 case 0x0b:i=1;break;//第二行 case 0x07:i=0;//第一行 } P1=0xf0; switch(P1)//检测列 { case 0xe0:j=13;break;//第四列 case 0xd0:j=9;break;//第三列 case 0xb0:j=5;break;//第二列 case 0x70:j=1;//第一列 } while(P1!=0xf0);//等待按键松开 } return i+j; } void main()//主函数 { unsigned char i; while(1) { //显示功能 if(fuhao<5) {//第一个数 P0=smgduan[a%10];smg1=0;delay(100);smg1=1;//第一个数 switch(fuhao)//符号 { case 1:P0=0x01;break;//加 case 2:P0=0x40;break;//减 case 3:P0=0x08;break;//乘 case 4:P0=0x80;break;//除 default:P0=0; } smg2=0;delay(100);smg2=1;//符号 P0=smgduan[b%10];smg3=0;delay(100);smg3=1;//第二个数 } else//计算结果 { P0=0x09;smg1=0;delay(100);smg1=1;//等于 //结果 P0=smgduan[c%100/10];smg2=0;delay(100);smg2=1;//十位 P0=smgduan[c%10];smg3=0;delay(100);smg3=1;//个位 } //计算功能 i=key_scan();//检测 if((i>0)&&(i<11))//输入数值 { if(fuhao==0)//第一个数 { a=i-1; } else //第二个数 { b=i-1; } } if(i==13)//加 { fuhao=1; } if(i==14)//减 { fuhao=2; } if(i==15)//乘 { fuhao=3; } if(i==16)//除 { fuhao=4; } if(i==11)//等于 { switch(fuhao) { case 1:c=a+b;break; case 2:c=a-b;break; case 3:c=a;c=c*b;break; case 4:c=a/b; } fuhao=5; } if(i==12)//归零 { a=0; b=0; c=0; fuhao=0; } } }

要求:分析各部分代码 代码如下:#include "reg51.h" sbit smg1=P2^0;//数码管 sbit smg2=P2^1; sbit smg3=P2^2; sbit smg4=P2^3; sbit smg5=P2^4; sbit smg6=P2^5; unsigned int a=0,b=0; //输入 unsigned char fuhao=0;//符号 unsigned int c=0;//结果 unsigned char code smgduan[10]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f};//0~9 void delay(unsigned int i)//延时函数 { while(i--); } unsigned char key_scan()//按键检测 { unsigned char i,j; i=0; j=0; P1=0x0f; if(P1!=0x0f) //被按下 { switch(P1)//检测行 { case 0x0e:i=3;break;//第四行 case 0x0d:i=2;break;//第三行 case 0x0b:i=1;break;//第二行 case 0x07:i=0;//第一行 } P1=0xf0; switch(P1)//检测列 { case 0xe0:j=13;break;//第四列 case 0xd0:j=9;break;//第三列 case 0xb0:j=5;break;//第二列 case 0x70:j=1;//第一列 } while(P1!=0xf0);//等待按键松开 } return i+j; } void main()//主函数 { unsigned char i; while(1) { //显示功能 if(fuhao<5) {//第一个数 P0=smgduan[a%10];smg1=0;delay(100);smg1=1;//第一个数 switch(fuhao)//符号 { case 1:P0=0x01;break;//加 case 2:P0=0x40;break;//减 case 3:P0=0x08;break;//乘 case 4:P0=0x80;break;//除 default:P0=0; } smg2=0;delay(100);smg2=1;//符号 P0=smgduan[b%10];smg3=0;delay(100);smg3=1;//第二个数 } else//计算结果 { P0=0x09;smg1=0;delay(100);smg1=1;//等于 //结果 P0=smgduan[c%100/10];smg2=0;delay(100);smg2=1;//十位 P0=smgduan[c%10];smg3=0;delay(100);smg3=1;//个位 } //计算功能 i=key_scan();//检测 if((i>0)&&(i<11))//输入数值 { if(fuhao==0)//第一个数 { a=i-1; } else //第二个数 { b=i-1; } } if(i==13)//加 { fuhao=1; } if(i==14)//减 { fuhao=2; } if(i==15)//乘 { fuhao=3; } if(i==16)//除 { fuhao=4; } if(i==11)//等于 { switch(fuhao) { case 1:c=a+b;break; case 2:c=a-b;break; case 3:c=a;c=c*b;break; case 4:c=a/b; } fuhao=5; } if(i==12)//归零 { a=0; b=0; c=0; fuhao=0; } } }

最新推荐

recommend-type

美国地图json文件,可以使用arcgis转为spacefile

美国地图json文件,可以使用arcgis转为spacefile
recommend-type

Microsoft Edge 126.0.2592.68 32位离线安装包

Microsoft Edge 126.0.2592.68 32位离线安装包
recommend-type

FLASH源码:读写FLASH内部数据,读取芯片ID

STLINK Utility:读取FLASH的软件
recommend-type

基于Springboot的医院信管系统

"基于Springboot的医院信管系统是一个利用现代信息技术和网络技术改进医院信息管理的创新项目。在信息化时代,传统的管理方式已经难以满足高效和便捷的需求,医院信管系统的出现正是适应了这一趋势。系统采用Java语言和B/S架构,即浏览器/服务器模式,结合MySQL作为后端数据库,旨在提升医院信息管理的效率。 项目开发过程遵循了标准的软件开发流程,包括市场调研以了解需求,需求分析以明确系统功能,概要设计和详细设计阶段用于规划系统架构和模块设计,编码则是将设计转化为实际的代码实现。系统的核心功能模块包括首页展示、个人中心、用户管理、医生管理、科室管理、挂号管理、取消挂号管理、问诊记录管理、病房管理、药房管理和管理员管理等,涵盖了医院运营的各个环节。 医院信管系统的优势主要体现在:快速的信息检索,通过输入相关信息能迅速获取结果;大量信息存储且保证安全,相较于纸质文件,系统节省空间和人力资源;此外,其在线特性使得信息更新和共享更为便捷。开发这个系统对于医院来说,不仅提高了管理效率,还降低了成本,符合现代社会对数字化转型的需求。 本文详细阐述了医院信管系统的发展背景、技术选择和开发流程,以及关键组件如Java语言和MySQL数据库的应用。最后,通过功能测试、单元测试和性能测试验证了系统的有效性,结果显示系统功能完整,性能稳定。这个基于Springboot的医院信管系统是一个实用且先进的解决方案,为医院的信息管理带来了显著的提升。"
recommend-type

管理建模和仿真的文件

管理Boualem Benatallah引用此版本:布阿利姆·贝纳塔拉。管理建模和仿真。约瑟夫-傅立叶大学-格勒诺布尔第一大学,1996年。法语。NNT:电话:00345357HAL ID:电话:00345357https://theses.hal.science/tel-003453572008年12月9日提交HAL是一个多学科的开放存取档案馆,用于存放和传播科学研究论文,无论它们是否被公开。论文可以来自法国或国外的教学和研究机构,也可以来自公共或私人研究中心。L’archive ouverte pluridisciplinaire
recommend-type

字符串转Float性能调优:优化Python字符串转Float性能的技巧和工具

![字符串转Float性能调优:优化Python字符串转Float性能的技巧和工具](https://pic1.zhimg.com/80/v2-3fea10875a3656144a598a13c97bb84c_1440w.webp) # 1. 字符串转 Float 性能调优概述 字符串转 Float 是一个常见的操作,在数据处理和科学计算中经常遇到。然而,对于大规模数据集或性能要求较高的应用,字符串转 Float 的效率至关重要。本章概述了字符串转 Float 性能调优的必要性,并介绍了优化方法的分类。 ### 1.1 性能调优的必要性 字符串转 Float 的性能问题主要体现在以下方面
recommend-type

Error: Cannot find module 'gulp-uglify

当你遇到 "Error: Cannot find module 'gulp-uglify'" 这个错误时,它通常意味着Node.js在尝试运行一个依赖了 `gulp-uglify` 模块的Gulp任务时,找不到这个模块。`gulp-uglify` 是一个Gulp插件,用于压缩JavaScript代码以减少文件大小。 解决这个问题的步骤一般包括: 1. **检查安装**:确保你已经全局安装了Gulp(`npm install -g gulp`),然后在你的项目目录下安装 `gulp-uglify`(`npm install --save-dev gulp-uglify`)。 2. **配置
recommend-type

基于Springboot的冬奥会科普平台

"冬奥会科普平台的开发旨在利用现代信息技术,如Java编程语言和MySQL数据库,构建一个高效、安全的信息管理系统,以改善传统科普方式的不足。该平台采用B/S架构,提供包括首页、个人中心、用户管理、项目类型管理、项目管理、视频管理、论坛和系统管理等功能,以提升冬奥会科普的检索速度、信息存储能力和安全性。通过需求分析、设计、编码和测试等步骤,确保了平台的稳定性和功能性。" 在这个基于Springboot的冬奥会科普平台项目中,我们关注以下几个关键知识点: 1. **Springboot框架**: Springboot是Java开发中流行的应用框架,它简化了创建独立的、生产级别的基于Spring的应用程序。Springboot的特点在于其自动配置和起步依赖,使得开发者能快速搭建应用程序,并减少常规配置工作。 2. **B/S架构**: 浏览器/服务器模式(B/S)是一种客户端-服务器架构,用户通过浏览器访问服务器端的应用程序,降低了客户端的维护成本,提高了系统的可访问性。 3. **Java编程语言**: Java是这个项目的主要开发语言,具有跨平台性、面向对象、健壮性等特点,适合开发大型、分布式系统。 4. **MySQL数据库**: MySQL是一个开源的关系型数据库管理系统,因其高效、稳定和易于使用而广泛应用于Web应用程序,为平台提供数据存储和查询服务。 5. **需求分析**: 开发前的市场调研和需求分析是项目成功的关键,它帮助确定平台的功能需求,如用户管理、项目管理等,以便满足不同用户群体的需求。 6. **数据库设计**: 数据库设计包括概念设计、逻辑设计和物理设计,涉及表结构、字段定义、索引设计等,以支持平台的高效数据操作。 7. **模块化设计**: 平台功能模块化有助于代码组织和复用,包括首页模块、个人中心模块、管理系统模块等,每个模块负责特定的功能。 8. **软件开发流程**: 遵循传统的软件生命周期模型,包括市场调研、需求分析、概要设计、详细设计、编码、测试和维护,确保项目的质量和可维护性。 9. **功能测试、单元测试和性能测试**: 在开发过程中,通过这些测试确保平台功能的正确性、模块的独立性和系统的性能,以达到预期的用户体验。 10. **微信小程序、安卓源码**: 虽然主要描述中没有详细说明,但考虑到标签包含这些内容,可能平台还提供了移动端支持,如微信小程序和安卓应用,以便用户通过移动设备访问和交互。 这个基于Springboot的冬奥会科普平台项目结合了现代信息技术和软件工程的最佳实践,旨在通过信息化手段提高科普效率,为用户提供便捷、高效的科普信息管理服务。
recommend-type

"互动学习:行动中的多样性与论文攻读经历"

多样性她- 事实上SCI NCES你的时间表ECOLEDO C Tora SC和NCESPOUR l’Ingén学习互动,互动学习以行动为中心的强化学习学会互动,互动学习,以行动为中心的强化学习计算机科学博士论文于2021年9月28日在Villeneuve d'Asq公开支持马修·瑟林评审团主席法布里斯·勒菲弗尔阿维尼翁大学教授论文指导奥利维尔·皮耶昆谷歌研究教授:智囊团论文联合主任菲利普·普雷教授,大学。里尔/CRISTAL/因里亚报告员奥利维耶·西格德索邦大学报告员卢多维奇·德诺耶教授,Facebook /索邦大学审查员越南圣迈IMT Atlantic高级讲师邀请弗洛里安·斯特鲁布博士,Deepmind对于那些及时看到自己错误的人...3谢谢你首先,我要感谢我的两位博士生导师Olivier和Philippe。奥利维尔,"站在巨人的肩膀上"这句话对你来说完全有意义了。从科学上讲,你知道在这篇论文的(许多)错误中,你是我可以依
recommend-type

Python字符串转Float最佳实践:从初学者到专家的进阶指南

![Python字符串转Float最佳实践:从初学者到专家的进阶指南](https://img-blog.csdnimg.cn/img_convert/1678da8423d7b3a1544fd4e6457be4d1.png) # 1. Python字符串转Float基础** Python中字符串转Float的本质是将文本表示的数字转换为浮点数。这在数据处理、科学计算和许多其他应用中至关重要。本章将介绍字符串转Float的基础知识,包括: * **字符串转Float的意义:**理解字符串和浮点数之间的差异,以及为什么需要进行转换。 * **内置函数:**探索float()函数和decima