用if/case语句写一段VHDL程序实现校园卡有三个人刷三选一数据选择器

时间: 2023-12-03 21:46:38 浏览: 29
以下是一种可能的实现方法: ```vhdl entity card_selector is port ( person1 : in std_logic_vector(7 downto 0); person2 : in std_logic_vector(7 downto 0); person3 : in std_logic_vector(7 downto 0); select : in std_logic_vector(1 downto 0); output : out std_logic_vector(7 downto 0) ); end entity card_selector; architecture behavioral of card_selector is begin process (person1, person2, person3, select) begin case select is when "00" => output <= person1; when "01" => output <= person2; when others => output <= person3; end case; end process; end architecture behavioral; ``` 这个 VHDL 实体有四个输入端口和一个输出端口。三个输入端口 `person1`、`person2` 和 `person3` 分别表示三个人刷卡时的数据,`select` 输入端口是一个 2 位向量,用来选择哪个人的数据会输出。输出端口 `output` 是一个 8 位向量,表示选择的人的刷卡数据。 在 `process` 进程中,使用 `case` 语句根据 `select` 的值选择要输出的人的刷卡数据,然后将其赋值给 `output` 输出端口。这里使用了 `others` 关键字来处理除了 "00" 和 "01" 以外的选择输入值,这里默认选择第三个人的刷卡数据。

相关推荐

最新推荐

recommend-type

EDA/PLD中的基于VHDL三层电梯控制器的设计

通过对三层电梯控制器的设计,可以发现本设计有一定的扩展性,而且可以作为更多层电梯控制器实现的基础。  1 三层电梯控制器将实现的功能  (1)每层电梯入口处设有上下请求开关,电梯内设有顾客到达层次的停站...
recommend-type

4位乘法器vhdl程序

VHDL全名Very-High-Speed Integrated Circuit Hardware Description Language,诞生于1982年。1987年底,VHDL被IEEE和美国国防部确认为标准硬件描述语言 。 VHDL和Verilog作为IEEE的工业标准硬件描述语言,得到众多...
recommend-type

超前进位4位加法器74LS283的VHDL程序实现

由于串行多位加法器的高位相加时要等待低位的进位,所以速度受到进位信号的限制而变慢,人们又设计了一种多位数超前进位加法器逻辑电路,使每位求和结果直接接受加数和被加数而不必等待地位进位,而与低位的进位信号...
recommend-type

4位除法器vhdl程序

VHDL全名Very-High-Speed Integrated Circuit Hardware Description Language,诞生于1982年。1987年底,VHDL被IEEE和美国国防部确认为标准硬件描述语言 。 VHDL和Verilog作为IEEE的工业标准硬件描述语言,得到众多...
recommend-type

EDA实验报告 4选1数据选择器的实现

4选1数据选择器的逻辑符号如图1所示,其功能见表1。由表1可知,数据选择器在控制输入信号s1和s2的控制下,使输入数据信号a,b,c,d中的一个被选中传送到...s1和s2有4种组合值,由此可用CASE语句和IF语句来实现其功能。
recommend-type

zigbee-cluster-library-specification

最新的zigbee-cluster-library-specification说明文档。
recommend-type

管理建模和仿真的文件

管理Boualem Benatallah引用此版本:布阿利姆·贝纳塔拉。管理建模和仿真。约瑟夫-傅立叶大学-格勒诺布尔第一大学,1996年。法语。NNT:电话:00345357HAL ID:电话:00345357https://theses.hal.science/tel-003453572008年12月9日提交HAL是一个多学科的开放存取档案馆,用于存放和传播科学研究论文,无论它们是否被公开。论文可以来自法国或国外的教学和研究机构,也可以来自公共或私人研究中心。L’archive ouverte pluridisciplinaire
recommend-type

实现实时数据湖架构:Kafka与Hive集成

![实现实时数据湖架构:Kafka与Hive集成](https://img-blog.csdnimg.cn/img_convert/10eb2e6972b3b6086286fc64c0b3ee41.jpeg) # 1. 实时数据湖架构概述** 实时数据湖是一种现代数据管理架构,它允许企业以低延迟的方式收集、存储和处理大量数据。与传统数据仓库不同,实时数据湖不依赖于预先定义的模式,而是采用灵活的架构,可以处理各种数据类型和格式。这种架构为企业提供了以下优势: - **实时洞察:**实时数据湖允许企业访问最新的数据,从而做出更明智的决策。 - **数据民主化:**实时数据湖使各种利益相关者都可
recommend-type

可见光定位LED及其供电硬件具体型号,广角镜头和探测器,实验设计具体流程步骤,

1. 可见光定位LED型号:一般可使用5mm或3mm的普通白色LED,也可以选择专门用于定位的LED,例如OSRAM公司的SFH 4715AS或Vishay公司的VLMU3500-385-120。 2. 供电硬件型号:可以使用常见的直流电源供电,也可以选择专门的LED驱动器,例如Meanwell公司的ELG-75-C或ELG-150-C系列。 3. 广角镜头和探测器型号:一般可采用广角透镜和CMOS摄像头或光电二极管探测器,例如Omron公司的B5W-LA或Murata公司的IRS-B210ST01。 4. 实验设计流程步骤: 1)确定实验目的和研究对象,例如车辆或机器人的定位和导航。
recommend-type

JSBSim Reference Manual

JSBSim参考手册,其中包含JSBSim简介,JSBSim配置文件xml的编写语法,编程手册以及一些应用实例等。其中有部分内容还没有写完,估计有生之年很难看到完整版了,但是内容还是很有参考价值的。