pyparsing 匹配不包含cfg_file_name字符串的其他字符

时间: 2024-02-03 10:02:21 浏览: 25
可以使用pyparsing的`~`操作符来实现这个功能。`~`操作符表示匹配除了指定的字符或字符串之外的所有字符。 假设你的字符串是`input_str`,`cfg_file_name`是你想排除的字符串,那么可以这样写: ```python from pyparsing import Word, alphas, printables # 定义除 cfg_file_name 以外的所有字符 other_chars = Word(printables.replace(cfg_file_name, "")).setResultsName("other_chars") # 匹配 input_str 中的其他部分 result = other_chars.parseString(input_str) ``` 在上面的代码中,`printables`是一个包含所有可打印字符的字符串,我们使用`replace`方法将`cfg_file_name`从中排除。然后使用`setResultsName`方法给匹配结果命名为`other_chars`,方便后续处理。 注意,这种方法只能排除整个字符串,不能排除其中的某个子字符串。如果要排除某个子字符串,可以使用pyparsing的`~ FollowedBy`语法,具体可以参考pyparsing文档。
相关问题

pyparsing 匹配不包含cfg_file_name字符串的其他字符串

你可以使用pyparsing的~操作符来实现这个功能。具体来说,你可以将字符串“cfg_file_name”解析为Literal对象,并使用~操作符将其取反。这将创建一个NotAny对象,该对象将匹配不包含“cfg_file_name”的任何字符串。以下是一个示例代码: ``` python from pyparsing import Literal, NotAny, Word, alphas cfg_file_name = Literal("cfg_file_name") other_string = Word(alphas) # 匹配不包含 "cfg_file_name" 的 other_string pattern = NotAny(cfg_file_name) + other_string # 测试代码 test_string_1 = "cfg_file_name" test_string_2 = "other_string" print(pattern.parseString(test_string_1)) # Raises ParseException print(pattern.parseString(test_string_2)) # Returns ['other_string'] ``` 在上面的代码中,我们首先定义了两个模式:cfg_file_name和other_string。然后,我们使用NotAny操作符将cfg_file_name取反,并将其与other_string组合在一起,以匹配不包含cfg_file_name的任何字符串。最后,我们使用parseString方法测试了两个字符串,一个包含cfg_file_name,另一个不包含。

请逐行注释下面的代码:class riscv_instr_base_test extends uvm_test; riscv_instr_gen_config cfg; string test_opts; string asm_file_name = "riscv_asm_test"; riscv_asm_program_gen asm_gen; string instr_seq; int start_idx; uvm_coreservice_t coreservice; uvm_factory factory; uvm_component_utils(riscv_instr_base_test) function new(string name="", uvm_component parent=null); super.new(name, parent); void'($value$plusargs("asm_file_name=%0s", asm_file_name)); void'($value$plusargs("start_idx=%0d", start_idx)); endfunction virtual function void build_phase(uvm_phase phase); super.build_phase(phase); coreservice = uvm_coreservice_t::get(); factory = coreservice.get_factory(); uvm_info(gfn, "Create configuration instance", UVM_LOW) cfg = riscv_instr_gen_config::type_id::create("cfg"); uvm_info(gfn, "Create configuration instance...done", UVM_LOW) uvm_config_db#(riscv_instr_gen_config)::set(null, "*", "instr_cfg", cfg); if(cfg.asm_test_suffix != "") asm_file_name = {asm_file_name, ".", cfg.asm_test_suffix}; // Override the default riscv instruction sequence if($value$plusargs("instr_seq=%0s", instr_seq)) begin factory.set_type_override_by_name("riscv_instr_sequence", instr_seq); end if (riscv_instr_pkg::support_debug_mode) begin factory.set_inst_override_by_name("riscv_asm_program_gen", "riscv_debug_rom_gen", {gfn, ".asm_gen.debug_rom"}); end endfunction function void report_phase(uvm_phase phase); uvm_report_server rs; int error_count; rs = uvm_report_server::get_server(); error_count = rs.get_severity_count(UVM_WARNING) + rs.get_severity_count(UVM_ERROR) + rs.get_severity_count(UVM_FATAL); if (error_count == 0) begin uvm_info("", "TEST PASSED", UVM_NONE); end else begin uvm_info("", "TEST FAILED", UVM_NONE); end uvm_info("", "TEST GENERATION DONE", UVM_NONE); super.report_phase(phase); endfunction virtual function void apply_directed_instr(); endfunction task run_phase(uvm_phase phase); int fd; for(int i = 0; i < cfg.num_of_tests; i++) begin string test_name; randomize_cfg(); riscv_instr::create_instr_list(cfg); riscv_csr_instr::create_csr_filter(cfg); asm_gen = riscv_asm_program_gen::type_id::create("asm_gen", , gfn); asm_gen.cfg = cfg; asm_gen.get_directed_instr_stream(); test_name = $sformatf("%0s_%0d.S", asm_file_name, i+start_idx); apply_directed_instr(); uvm_info(gfn, "All directed instruction is applied", UVM_LOW) asm_gen.gen_program(); asm_gen.gen_test_file(test_name); end endtask virtual function void randomize_cfg(); DV_CHECK_RANDOMIZE_FATAL(cfg); uvm_info(`gfn, $sformatf("riscv_instr_gen_config is randomized:\n%0s", cfg.sprint()), UVM_LOW) endfunction endclass

这是一个 SystemVerilog 类定义,定义了一个名为 riscv_instr_base_test 的类,继承自 uvm_test。下面是代码解释: ``` class riscv_instr_base_test extends uvm_test; ``` 定义一个名为 riscv_instr_base_test 的类,继承自 uvm_test。 ``` riscv_instr_gen_config cfg; ``` 定义一个名为 cfg 的 riscv_instr_gen_config 类型变量。 ``` string test_opts; ``` 定义一个名为 test_opts 的字符串类型变量。 ``` string asm_file_name = "riscv_asm_test"; ``` 定义一个名为 asm_file_name 的字符串类型变量,并将其初始化为 "riscv_asm_test"。 ``` riscv_asm_program_gen asm_gen; ``` 定义一个名为 asm_gen 的 riscv_asm_program_gen 类型变量。 ``` string instr_seq; ``` 定义一个名为 instr_seq 的字符串类型变量。 ``` int start_idx; ``` 定义一个名为 start_idx 的整型变量。 ``` uvm_coreservice_t coreservice; ``` 定义一个名为 coreservice 的 uvm_coreservice_t 类型变量。 ``` uvm_factory factory; ``` 定义一个名为 factory 的 uvm_factory 类型变量。 ``` uvm_component_utils(riscv_instr_base_test) ``` 宏定义,用于简化组件注册过程。 ``` function new(string name="", uvm_component parent=null); ``` 定义一个构造函数 new。 ``` super.new(name, parent); ``` 调用父类 uvm_test 的构造函数。 ``` void'($value$plusargs("asm_file_name=%0s", asm_file_name)); void'($value$plusargs("start_idx=%0d", start_idx)); ``` 从命令行参数中获取 asm_file_name 和 start_idx 的值。 ``` endfunction ``` 构造函数结束。 ``` virtual function void build_phase(uvm_phase phase); ``` 定义一个虚函数 build_phase,用于实现组件的构建过程。 ``` super.build_phase(phase); ``` 调用父类 uvm_test 的 build_phase 函数。 ``` coreservice = uvm_coreservice_t::get(); factory = coreservice.get_factory(); ``` 获取 uvm_coreservice_t 和 uvm_factory 实例。 ``` uvm_info(gfn, "Create configuration instance", UVM_LOW) cfg = riscv_instr_gen_config::type_id::create("cfg"); ``` 创建 riscv_instr_gen_config 的实例 cfg。 ``` uvm_info(gfn, "Create configuration instance...done", UVM_LOW) ``` 输出一条消息,表示创建配置实例完成。 ``` uvm_config_db#(riscv_instr_gen_config)::set(null, "*", "instr_cfg", cfg); ``` 将 cfg 对象存储到配置数据库中。 ``` if(cfg.asm_test_suffix != "") asm_file_name = {asm_file_name, ".", cfg.asm_test_suffix}; ``` 如果配置文件中指定了 asm_test_suffix,则将其添加到 asm_file_name 的末尾。 ``` if($value$plusargs("instr_seq=%0s", instr_seq)) begin factory.set_type_override_by_name("riscv_instr_sequence", instr_seq); end ``` 如果命令行参数中指定了 instr_seq,则使用该指令序列进行测试。 ``` if (riscv_instr_pkg::support_debug_mode) begin factory.set_inst_override_by_name("riscv_asm_program_gen", "riscv_debug_rom_gen", {gfn, ".asm_gen.debug_rom"}); end ``` 如果支持调试模式,则为 riscv_asm_program_gen 组件设置调试 ROM。 ``` endfunction ``` build_phase 函数结束。 ``` function void report_phase(uvm_phase phase); ``` 定义一个 report_phase 函数,用于在测试结束时输出测试结果。 ``` uvm_report_server rs; int error_count; rs = uvm_report_server::get_server(); ``` 获取 uvm_report_server 实例。 ``` error_count = rs.get_severity_count(UVM_WARNING) + rs.get_severity_count(UVM_ERROR) + rs.get_severity_count(UVM_FATAL); ``` 获取测试过程中出现的警告、错误和致命错误的数量。 ``` if (error_count == 0) begin uvm_info("", "TEST PASSED", UVM_NONE); end else begin uvm_info("", "TEST FAILED", UVM_NONE); end ``` 根据错误数量输出测试结果。 ``` uvm_info("", "TEST GENERATION DONE", UVM_NONE); ``` 输出一条消息,表示测试生成完成。 ``` super.report_phase(phase); ``` 调用父类 uvm_test 的 report_phase 函数。 ``` endfunction ``` report_phase 函数结束。 ``` virtual function void apply_directed_instr(); ``` 定义一个虚函数 apply_directed_instr,用于实现针对指定指令的测试。 ``` endfunction ``` apply_directed_instr 函数结束。 ``` task run_phase(uvm_phase phase); ``` 定义一个任务 run_phase,用于执行测试过程。 ``` int fd; for(int i = 0; i < cfg.num_of_tests; i++) begin string test_name; randomize_cfg(); riscv_instr::create_instr_list(cfg); riscv_csr_instr::create_csr_filter(cfg); asm_gen = riscv_asm_program_gen::type_id::create("asm_gen", , gfn); asm_gen.cfg = cfg; asm_gen.get_directed_instr_stream(); test_name = $sformatf("%0s_%0d.S", asm_file_name, i+start_idx); apply_directed_instr(); uvm_info(gfn, "All directed instruction is applied", UVM_LOW) asm_gen.gen_program(); asm_gen.gen_test_file(test_name); end ``` 根据配置文件中指定的测试数量循环执行测试: - 调用 randomize_cfg 函数,随机生成测试配置。 - 调用 create_instr_list 函数,生成指令序列。 - 调用 create_csr_filter 函数,生成 CSR 过滤器。 - 创建 riscv_asm_program_gen 组件实例 asm_gen。 - 将 cfg 对象赋值给 asm_gen 的 cfg 变量。 - 调用 get_directed_instr_stream 函数,获取指定指令流。 - 为测试生成一个文件名,格式为 asm_file_name_测试编号.S。 - 调用 apply_directed_instr 函数,应用指定指令。 - 输出一条消息,表示所有指定指令已经被应用。 - 调用 gen_program 函数,生成汇编代码。 - 调用 gen_test_file 函数,生成测试文件。 ``` endtask ``` run_phase 任务结束。 ``` virtual function void randomize_cfg(); ``` 定义一个虚函数 randomize_cfg,用于随机生成测试配置。 ``` DV_CHECK_RANDOMIZE_FATAL(cfg); uvm_info(`gfn, $sformatf("riscv_instr_gen_config is randomized:\n%0s", cfg.sprint()), UVM_LOW) ``` 调用 DV_CHECK_RANDOMIZE_FATAL 宏检查随机化过程是否成功,并输出配置信息。 ``` endfunction ``` randomize_cfg 函数结束。 ``` endclass ``` riscv_instr_base_test 类定义结束。

相关推荐

clear;clc parentdir = 'F:\data process\fMRI\fmrioutput'; % 定义储存各被试源文件的上级文件夹 cd(parentdir); % 进入这个上级文件夹 allsubjects = dir('sub*');%查找该文件夹下的所有被试 subinfos = numel(allsubjects); for i=1:numel(allsubjects) % 对每个被试进行循环 cursubject = allsubjects(i).name; % 找到当前被试的名字 matlabbatch=cell(1); curWPAT = fullfile(parentdir,cursubject,'WPAT'); curfucout=fullfile('F:\data process\fMRI\fmrioutput',cursubject,'WPAT') matlabbatch{1}.spm.stats.fmri_spec.dir = {curfucout}; matlabbatch{1}.spm.stats.fmri_spec.sess.scans = cellstr(spm_select('ExtFPList', curWPAT, '^sw*.nii', Inf)) matlabbatch{1}.spm.stats.fmri_spec.timing.units = 'scans'; matlabbatch{1}.spm.stats.fmri_spec.timing.RT = 2; matlabbatch{1}.spm.stats.fmri_spec.timing.fmri_t = 16; matlabbatch{1}.spm.stats.fmri_spec.timing.fmri_t0 = 8; %% matlabbatch{1}.spm.stats.fmri_spec.sess.cond = struct('name', {}, 'onset', {}, 'duration', {}, 'tmod', {}, 'pmod', {}, 'orth', {}); matlabbatch{1}.spm.stats.fmri_spec.sess.multi = {'D:\data process\fMRI\onsets\subject(i)_run1.mat'}; matlabbatch{1}.spm.stats.fmri_spec.sess.regress = struct('name', {}, 'val', {}); matlabbatch{1}.spm.stats.fmri_spec.sess.tempxx=dir(fullfile(curfucout,'rp*.txt')) matlabbatch{1}.spm.stats.fmri_spec.sess.hpf = 128; matlabbatch{1}.spm.stats.fmri_spec.fact = struct('name', {}, 'levels', {}); matlabbatch{1}.spm.stats.fmri_spec.bases.hrf.derivs = [0 0]; matlabbatch{1}.spm.stats.fmri_spec.volt = 1; matlabbatch{1}.spm.stats.fmri_spec.global = 'None'; matlabbatch{1}.spm.stats.fmri_spec.mthresh = 0.8; matlabbatch{1}.spm.stats.fmri_spec.mask = {''}; matlabbatch{1}.spm.stats.fmri_spec.cvi = 'AR(1)'; matlabbatch{2}.spm.stats.fmri_est.spmmat(1) = cfg_dep('fMRI model specification: SPM.mat File', substruct('.','val', '{}',{1}, '.','val', '{}',{1}, '.','val', '{}',{1}), substruct('.','spmmat')); matlabbatch{2}.spm.stats.fmri_est.write_residuals = 0; matlabbatch{2}.spm.stats.fmri_est.method.Classical = 1; matlabbatch{3}.spm.stats.con.spmmat(1) = cfg_dep('Model estimation: SPM.mat File', substruct('.','val', '{}',{2}, '.','val', '{}',{1}, '.','val', '{}',{1}), substruct('.','spmmat')); matlabbatch{3}.spm.stats.con.consess{1}.tcon.name = 'Old'; matlabbatch{3}.spm.stats.con.consess{1}.tcon.weights = 1; matlabbatch{3}.spm.stats.con.consess{1}.tcon.sessrep = 'none'; matlabbatch{3}.spm.stats.con.delete = 0; end;怎么改

最新推荐

recommend-type

“推荐系统”相关资源推荐

推荐了国内外对推荐系统的讲解相关资源
recommend-type

全渠道电商平台业务中台解决方案.pptx

全渠道电商平台业务中台解决方案.pptx
recommend-type

云计算企业私有云平台建设方案.pptx

云计算企业私有云平台建设方案.pptx
recommend-type

通过CNN卷积神经网络对盆栽识别-含图片数据集.zip

本代码是基于python pytorch环境安装的。 下载本代码后,有个requirement.txt文本,里面介绍了如何安装环境,环境需要自行配置。 或可直接参考下面博文进行环境安装。 https://blog.csdn.net/no_work/article/details/139246467 如果实在不会安装的,可以直接下载免安装环境包,有偿的哦 https://download.csdn.net/download/qq_34904125/89365780 安装好环境之后, 代码需要依次运行 01数据集文本生成制作.py 02深度学习模型训练.py 和03pyqt_ui界面.py 数据集文件夹存放了本次识别的各个类别图片。 本代码对数据集进行了预处理,包括通过在较短边增加灰边,使得图片变为正方形(如果图片原本就是正方形则不会增加灰边),和旋转角度,来扩增增强数据集, 运行01数据集文本制作.py文件,会就读取数据集下每个类别文件中的图片路径和对应的标签 运行02深度学习模型训练.py就会将txt文本中记录的训练集和验证集进行读取训练,训练好后会保存模型在本地
recommend-type

0.96寸OLED显示屏

尺寸与分辨率:该显示屏的尺寸为0.96英寸,常见分辨率为128x64像素,意味着横向有128个像素点,纵向有64个像素点。这种分辨率足以显示基本信息和简单的图形。 显示技术:OLED(有机发光二极管)技术使得每个像素都能自发光,不需要背光源,因此对比度高、色彩鲜艳、视角宽广,且在低亮度环境下表现更佳,同时能实现更低的功耗。 接口类型:这种显示屏通常支持I²C(IIC)和SPI两种通信接口,有些型号可能还支持8080或6800并行接口。I²C接口因其简单且仅需两根数据线(SCL和SDA)而广受欢迎,适用于降低硬件复杂度和节省引脚资源。 驱动IC:常见的驱动芯片为SSD1306,它负责控制显示屏的图像显示,支持不同显示模式和刷新频率的设置。 物理接口:根据型号不同,可能有4针(I²C接口)或7针(SPI接口)的物理连接器。 颜色选项:虽然大多数0.96寸OLED屏为单色(通常是白色或蓝色),但也有双色版本,如黄蓝双色,其中屏幕的一部分显示黄色,另一部分显示蓝色。
recommend-type

电容式触摸按键设计参考

"电容式触摸按键设计参考 - 触摸感应按键设计指南" 本文档是Infineon Technologies的Application Note AN64846,主要针对电容式触摸感应(CAPSENSE™)技术,旨在为初次接触CAPSENSE™解决方案的硬件设计师提供指导。文档覆盖了从基础技术理解到实际设计考虑的多个方面,包括电路图设计、布局以及电磁干扰(EMI)的管理。此外,它还帮助用户选择适合自己应用的合适设备,并提供了CAPSENSE™设计的相关资源。 文档的目标受众是使用或对使用CAPSENSE™设备感兴趣的用户。CAPSENSE™技术是一种基于电容原理的触控技术,通过检测人体与传感器间的电容变化来识别触摸事件,常用于无物理按键的现代电子设备中,如智能手机、家电和工业控制面板。 在文档中,读者将了解到CAPSENSE™技术的基本工作原理,以及在设计过程中需要注意的关键因素。例如,设计时要考虑传感器的灵敏度、噪声抑制、抗干扰能力,以及如何优化电路布局以减少EMI的影响。同时,文档还涵盖了器件选择的指导,帮助用户根据应用需求挑选合适的CAPSENSE™芯片。 此外,为了辅助设计,Infineon提供了专门针对CAPSENSE™设备家族的设计指南,这些指南通常包含更详细的技术规格、设计实例和实用工具。对于寻求代码示例的开发者,可以通过Infineon的在线代码示例网页获取不断更新的PSoC™代码库,也可以通过视频培训库深入学习。 文档的目录通常会包含各个主题的章节,如理论介绍、设计流程、器件选型、硬件实施、软件配置以及故障排查等,这些章节将逐步引导读者完成一个完整的CAPSENSE™触摸按键设计项目。 通过这份指南,工程师不仅可以掌握CAPSENSE™技术的基础,还能获得实践经验,从而有效地开发出稳定、可靠的触摸感应按键系统。对于那些希望提升产品用户体验,采用先进触控技术的设计师来说,这是一份非常有价值的参考资料。
recommend-type

管理建模和仿真的文件

管理Boualem Benatallah引用此版本:布阿利姆·贝纳塔拉。管理建模和仿真。约瑟夫-傅立叶大学-格勒诺布尔第一大学,1996年。法语。NNT:电话:00345357HAL ID:电话:00345357https://theses.hal.science/tel-003453572008年12月9日提交HAL是一个多学科的开放存取档案馆,用于存放和传播科学研究论文,无论它们是否被公开。论文可以来自法国或国外的教学和研究机构,也可以来自公共或私人研究中心。L’archive ouverte pluridisciplinaire
recommend-type

MATLAB函数调用中的调试技巧大揭秘,快速定位并解决函数调用问题

![MATLAB函数调用中的调试技巧大揭秘,快速定位并解决函数调用问题](https://ucc.alicdn.com/pic/developer-ecology/ovk2h427k2sfg_f0d4104ac212436a93f2cc1524c4512e.png?x-oss-process=image/resize,s_500,m_lfit) # 1. MATLAB函数调用的基本原理** MATLAB函数调用是通过`function`关键字定义的,其语法为: ```matlab function [output1, output2, ..., outputN] = function_na
recommend-type

LDMIA r0!,{r4 - r11}

LDMIA是ARM汇编语言中的一条指令,用于从内存中加载多个寄存器的值。具体来说,LDMIA r0!,{r4 r11}的意思是从内存地址r0开始,连续加载r4到r11这8个寄存器的值[^1]。 下面是一个示例代码,演示了如何使用LDMIA指令加载寄器的值: ```assembly LDMIA r0!, {r4-r11} ;从内存地址r0开始,连续加载r4到r11这8个寄存器的值 ``` 在这个示例中,LDMIA指令将会从内存地址r0开始,依次将内存中的值加载到r4、r5、r6、r7、r8、r9、r10和r11这8个寄存器中。
recommend-type

西门子MES-系统规划建议书(共83页).docx

"西门子MES系统规划建议书是一份详细的文档,涵盖了西门子在MES(制造执行系统)领域的专业见解和规划建议。文档由西门子工业自动化业务部旗下的SISW(西门子工业软件)提供,该部门是全球PLM(产品生命周期管理)软件和SIMATIC IT软件的主要供应商。文档可能包含了 MES系统如何连接企业级管理系统与生产过程,以及如何优化生产过程中的各项活动。此外,文档还提及了西门子工业业务领域的概况,强调其在环保技术和工业解决方案方面的领导地位。" 西门子MES系统是工业自动化的重要组成部分,它扮演着生产过程管理和优化的角色。通过集成的解决方案,MES能够提供实时的生产信息,确保制造流程的高效性和透明度。MES系统规划建议书可能会涉及以下几个关键知识点: 1. **MES系统概述**:MES系统连接ERP(企业资源计划)和底层控制系统,提供生产订单管理、设备监控、质量控制、物料跟踪等功能,以确保制造过程的精益化。 2. **西门子SIMATIC IT**:作为西门子的MES平台,SIMATIC IT提供了广泛的模块化功能,适应不同行业的生产需求,支持离散制造业、流程工业以及混合型生产环境。 3. **产品生命周期管理(PLM)**:PLM软件用于管理产品的全生命周期,从概念设计到报废,强调协作和创新。SISW提供的PLM解决方案可能包括CAD(计算机辅助设计)、CAM(计算机辅助制造)、CAE(计算机辅助工程)等工具。 4. **工业自动化**:西门子工业自动化业务部提供自动化系统、控制器和软件,提升制造业的效率和灵活性,包括生产线自动化、过程自动化和系统整体解决方案。 5. **全球市场表现**:SISW在全球范围内拥有大量客户,包括许多世界500强企业,表明其解决方案在业界的广泛应用和认可。 6. **中国及亚洲市场**:SISW在中国和亚洲其他新兴市场具有领先地位,特别是在CAD领域,反映了其在这些地区的重要影响力。 7. **案例研究**:文档可能包含实际案例,如通用汽车的全球产品开发项目,展示SISW技术在大型复杂项目中的应用能力。 这份建议书不仅对理解西门子MES系统有重要作用,也为企业在选择和实施MES系统时提供了策略性指导,有助于企业规划和优化其生产流程,实现更高效的制造业运营。