代码解释:dig = dig[(self._start_time/1000 <= time) & (time <= t1)]

时间: 2023-06-10 18:09:02 浏览: 46
这行代码是在一个程序中使用的,其中 dig 是一个列表,它存储了一些数据。self._start_time 是程序的一个属性,表示程序开始运行的时间(单位是毫秒)。time 是一个变量,它表示当前的时间(同样是毫秒为单位)。这行代码的作用是筛选出 dig 中时间大于等于程序开始时间且小于等于当前时间的数据,并将它们存储到 dig 中。具体来说,它使用了 Python 中的切片操作符,即 dig[start:end],其中 start 和 end 分别表示切片的开始和结束位置。在这个程序中,start 的值是 (self._start_time/1000),表示程序开始时间的秒数,end 的值是空,表示切片的结束位置为列表的最后一个元素。这样,dig[start:end] 就表示从 dig 列表中第 (self._start_time/1000) 个元素开始,到最后一个元素的切片。最后,这个切片操作符返回的是一个新的列表,其中包含 dig 中符合条件的元素。
相关问题

dig = dig[(50/1000 <= time)]这个代码怎么理解

这段代码的作用是根据时间条件对数据进行切片,并更新数据数组。 1. `(50/1000 <= time)`:这是一个条件表达式,用于判断时间数组 `time` 中的每个元素是否大于等于 `50/1000`,即0.05。这个条件表示只选择时间大于等于0.05的数据点。 2. `dig = dig[(50/1000 <= time)]`:通过将条件表达式应用于数据数组 `dig`,将会得到一个布尔数组,其中 `True` 表示满足条件的索引位置。然后,这个布尔数组会被用作对数据数组 `dig` 进行切片操作,只保留满足条件的数据点。 简而言之,这段代码的目的是将数据数组 `dig` 中与时间大于等于0.05对应的数据点保留下来,并更新数据数组 `dig`。这可以用来筛选出满足特定时间要求的数据。

讲下面代码分部分讲解//数码管显示 module seg_driver( input clk , input rst_n , input [31:0]data,//待显示的数据 output wire[7:0] sel , output wire[7:0] seg ); //wire [31:0]data; // assign dig_seg = 8'd0; // assign dig_sel = 1'b0; reg [7:0] dig_sel; reg [7:0] dig_seg; localparam NUM_0 = 8'hC0, NUM_1 = 8'hF9, NUM_2 = 8'hA4, NUM_3 = 8'hB0, NUM_4 = 8'h99, NUM_5 = 8'h92, NUM_6 = 8'h82, NUM_7 = 8'hF8, NUM_8 = 8'h80, NUM_9 = 8'h90, NUM_A = 8'h88, NUM_B = 8'h83, NUM_C = 8'hC6, NUM_D = 8'hA1, NUM_E = 8'h86, NUM_F = 8'h8E, LIT_ALL = 8'h00, BLC_ALL = 8'hFF; parameter CNT_REF = 25'd1000; reg [9:0] cnt_20us; //20us计数器 reg [3:0] data_tmp; //用于取出不同位选的显示数据 // assign data = 32'hABCD_4413; //描述位选信号切换 //描述刷新计数器 always@(posedge clk or negedge rst_n)begin if(!rst_n)begin cnt_20us <= 25'd0; end else if(cnt_20us >= CNT_REF - 25'd1)begin cnt_20us <= 25'd0; end else begin cnt_20us <= cnt_20us + 25'd1; end end always@(posedge clk or negedge rst_n)begin if(!rst_n)begin dig_sel <= 8'hfe;//8'b1111_1110 end else if(cnt_20us >= CNT_REF - 25'd1)begin dig_sel <= {dig_sel[6:0],dig_sel[7]}; end else begin dig_sel <= dig_sel; end end assign sel = dig_sel; //段选信号描述 always@(posedge clk or negedge rst_n)begin if(!rst_n)begin data_tmp <= 4'd0; end else begin case(sel) 8'b1111_1110:data_tmp <= data[ 3-:4]; 8'b1111_1101:data_tmp <= data[ 7-:4]; 8'b1111_1011:data_tmp <= data[11-:4]; 8'b1111_0111:data_tmp <= data[15-:4]; 8'b1110_1111:data_tmp <= data[19-:4]; 8'b1101_1111:data_tmp <= data[23-:4]; 8'b1011_1111:data_tmp <= data[27-:4]; 8'b0111_1111:data_tmp <= data[31-:4]; default: data_tmp <= 4'hF; endcase end end always@(posedge clk or negedge rst_n)begin if(!rst_n)begin dig_seg <= BLC_ALL; end else begin case(data_tmp) 4'h0 : dig_seg <= NUM_0; 4'h1 : dig_seg <= NUM_1; 4'h2 : dig_seg <= NUM_2; 4'h3 : dig_seg <= NUM_3; 4'h4 : dig_seg <= NUM_4; 4'h5 : dig_seg <= NUM_5; 4'h6 : dig_seg <= NUM_6; 4'h7 : dig_seg <= NUM_7; 4'h8 : dig_seg <= NUM_8; 4'h9 : dig_seg <= NUM_9; 4'hA : dig_seg <= NUM_A; 4'hB : dig_seg <= NUM_B; 4'hC : dig_seg <= NUM_C; 4'hD : dig_seg <= NUM_D; 4'hE : dig_seg <= NUM_E; 4'hF : dig_seg <= NUM_F; default: ; endcase end end assign seg = dig_seg ; endmodule

好的,下面是代码的分步讲解: ``` module seg_driver( input clk, input rst_n, input [31:0] data, // 待显示的数据 output wire [7:0] sel, // 位选信号 output wire [7:0] seg // 段选信号 ); ``` 这是一个模块,包含输入时钟信号`clk`、复位信号`rst_n`和需要显示的32位数据`data`,以及输出8个位选信号`sel`和8个段选信号`seg`。 ``` reg [7:0] dig_sel; reg [7:0] dig_seg; ``` 这两行代码定义了两个寄存器`dig_sel`和`dig_seg`,用于存储位选信号和段选信号。这两个寄存器都是8位宽的。 ``` localparam NUM_0 = 8'hC0, NUM_1 = 8'hF9, NUM_2 = 8'hA4, NUM_3 = 8'hB0, NUM_4 = 8'h99, NUM_5 = 8'h92, NUM_6 = 8'h82, NUM_7 = 8'hF8, NUM_8 = 8'h80, NUM_9 = 8'h90, NUM_A = 8'h88, NUM_B = 8'h83, NUM_C = 8'hC6, NUM_D = 8'hA1, NUM_E = 8'h86, NUM_F = 8'h8E, LIT_ALL = 8'h00, BLC_ALL = 8'hFF; ``` 这是一组参数定义,用于存储不同数字所对应的段选信号值。例如,`NUM_0`表示数字0对应的段选信号值为`8'hC0`,`NUM_1`表示数字1对应的段选信号值为`8'hF9`,以此类推。`LIT_ALL`表示所有数码管都亮,`BLC_ALL`表示所有数码管都灭。 ``` parameter CNT_REF = 25'd1000; reg [9:0] cnt_20us; ``` 这里定义了一个参数`CNT_REF`,表示20us的计数器计数到的值。同时,定义了一个10位宽的寄存器`cnt_20us`,用于计数20us的时间。 ``` always@(posedge clk or negedge rst_n)begin if(!rst_n)begin cnt_20us <= 25'd0; end else if(cnt_20us >= CNT_REF - 25'd1)begin cnt_20us <= 25'd0; end else begin cnt_20us <= cnt_20us + 25'd1; end end ``` 这是一个时钟触发器,用于计数20us的时间。当复位信号`rst_n`为低电平时,计数器`cnt_20us`被清零;否则,每次时钟上升沿到来时,计数器值加1。当计数器的值达到`CNT_REF-1`时,计数器被重新置零。 ``` always@(posedge clk or negedge rst_n)begin if(!rst_n)begin dig_sel <= 8'hfe;//8'b1111_1110 end else if(cnt_20us >= CNT_REF - 25'd1)begin dig_sel <= {dig_sel[6:0],dig_sel[7]}; end else begin dig_sel <= dig_sel; end end ``` 这个时钟触发器用于切换位选信号,使得不同数码管上的数字可以依次显示出来。当复位信号`rst_n`为低电平时,位选信号`dig_sel`被设置为`8'hfe`;否则,当计数器的值达到`CNT_REF-1`时,位选信号在不同数码管之间依次切换,以便将需要显示的数字依次显示在不同数码管上。 ``` always@(posedge clk or negedge rst_n)begin if(!rst_n)begin data_tmp <= 4'd0; end else begin case(sel) 8'b1111_1110:data_tmp <= data[ 3-:4]; 8'b1111_1101:data_tmp <= data[ 7-:4]; 8'b1111_1011:data_tmp <= data[11-:4]; 8'b1111_0111:data_tmp <= data[15-:4]; 8'b1110_1111:data_tmp <= data[19-:4]; 8'b1101_1111:data_tmp <= data[23-:4]; 8'b1011_1111:data_tmp <= data[27-:4]; 8'b0111_1111:data_tmp <= data[31-:4]; default: data_tmp <= 4'hF; endcase end end ``` 这个时钟触发器用于根据位选信号`sel`从待显示的数据`data`中取出需要显示的数字`data_tmp`。当复位信号`rst_n`为低电平时,数字`data_tmp`被设置为0;否则,根据位选信号`sel`从`data`变量中取出需要显示的数字,并存储到`data_tmp`变量中。如果位选信号不存在于给定的8个数位中,则`data_tmp`被设置为`4'hF`,表示不显示任何数字。 ``` always@(posedge clk or negedge rst_n)begin if(!rst_n)begin dig_seg <= BLC_ALL; end else begin case(data_tmp) 4'h0 : dig_seg <= NUM_0; 4'h1 : dig_seg <= NUM_1; 4'h2 : dig_seg <= NUM_2; 4'h3 : dig_seg <= NUM_3; 4'h4 : dig_seg <= NUM_4; 4'h5 : dig_seg <= NUM_5; 4'h6 : dig_seg <= NUM_6; 4'h7 : dig_seg <= NUM_7; 4'h8 : dig_seg <= NUM_8; 4'h9 : dig_seg <= NUM_9; 4'hA : dig_seg <= NUM_A; 4'hB : dig_seg <= NUM_B; 4'hC : dig_seg <= NUM_C; 4'hD : dig_seg <= NUM_D; 4'hE : dig_seg <= NUM_E; 4'hF : dig_seg <= NUM_F; default: ; endcase end end ``` 这个时钟触发器用于根据数字`data_tmp`选择对应的段选信号`dig_seg`,以便在数码管上显示出对应的数字。当复位信号`rst_n`为低电平时,段选信号`dig_seg`被设置为`BLC_ALL`,即所有数码管都灭;否则,根据数字`data_tmp`选择对应的段选信号,并将其存储到`dig_seg`变量中。如果`data_tmp`不存在于0~F之间,则不显示任何数字。 ``` assign sel = dig_sel; assign seg = dig_seg; ``` 这两行代码用于将位选信号`dig_sel`和段选信号`dig_seg`分别赋值给模块的输出端口`sel`和`seg`,以便在数码管上显示出对应的数字。

相关推荐

module xianshiqi( input clk , input rst_n , input [23:0]data,//待显示的数据 output wire[7:0] sel , output wire[7:0] seg ); //wire [24:0]data; // assign dig_seg = 8'd0; // assign dig_sel = 1'b0; reg [7:0] dig_sel; reg [7:0] dig_seg; localparam NUM_0 = 8'hC0, NUM_1 = 8'hF9, NUM_2 = 8'hA4, NUM_3 = 8'hB0, NUM_4 = 8'h99, NUM_5 = 8'h92, NUM_6 = 8'h82, NUM_7 = 8'hF8, NUM_8 = 8'h80, NUM_9 = 8'h90, NUM_A = 8'h88, NUM_B = 8'h83, NUM_C = 8'hC6, NUM_D = 8'hA1, NUM_E = 8'h86, NUM_F = 8'h8E, LIT_ALL = 8'h00, BLC_ALL = 8'hFF; parameter CNT_REF = 25'd1000; reg [9:0] cnt_20us; //20us计数器 reg [3:0] data_tmp; //用于取出不同位选的显示数据 // assign data = 32'hABCD_4413; //描述位选信号切换 //描述刷新计数器 always@(posedge clk or negedge rst_n)begin if(!rst_n)begin cnt_20us <= 25'd0; end else if(cnt_20us >= CNT_REF - 25'd1)begin cnt_20us <= 25'd0; end else begin cnt_20us <= cnt_20us + 25'd1; end end always@(posedge clk or negedge rst_n)begin if(!rst_n)begin dig_sel <= 8'hfe;//8'b1111_1110 end else if(cnt_20us >= CNT_REF - 25'd1)begin dig_sel <= {dig_sel[6:0],dig_sel[7]}; end else begin dig_sel <= dig_sel; end end assign sel = dig_sel; //段选信号描述 always@(posedge clk or negedge rst_n)begin if(!rst_n)begin data_tmp <= 4'd0; end else begin case(sel) 6'b11_1110:data_tmp <= data[ 3-:4]; 6'b11_1101:data_tmp <= data[ 7-:4]; 6'b11_1011:data_tmp <= data[11-:4]; 6'b11_0111:data_tmp <= data[15-:4]; 6'b10_1111:data_tmp <= data[19-:4]; 6'b01_1111:data_tmp <= data[23-:4]; default: data_tmp <= 4'hF; endcase end end always@(posedge clk or negedge rst_n)begin if(!rst_n)begin dig_seg <= BLC_ALL; end else begin case(data_tmp) 4'h0 : dig_seg <= NUM_0; 4'h1 : dig_seg <= NUM_1; 4'h2 : dig_seg <= NUM_2; 4'h3 : dig_seg <= NUM_3; 4'h4 : dig_seg <= NUM_4; 4'h5 : dig_seg <= NUM_5; 4'h6 : dig_seg <= NUM_6; 4'h7 : dig_seg <= NUM_7; 4'h8 : dig_seg <= NUM_8; 4'h9 : dig_seg <= NUM_9; 4'hA : dig_seg <= NUM_A; 4'hB : dig_seg <= NUM_B; 4'hC : dig_seg <= NUM_C; 4'hD : dig_seg <= NUM_D; 4'hE : dig_seg <= NUM_E; 4'hF : dig_seg <= NUM_F; default: ; endcase end end assign seg = dig_seg ; endmodule

timescale 1n/1ps module shiyan3( input clk, input rst, output seg_pi, output [7:0] seg_data ); reg[31:0]time_cnt; reg[7:0]num_cnt; always@(posedge clk or negedge rst) begin if(rst==1'b0) begin time_cnt<=32'd0; end else if(time_cnt==32'd49_000_000) begin time_cnt<=0; if(num_cnt==8'd10) begin num_cnt<=0; end else begin num_cnt<=num_cnt+1; end end else begin time_cnt<=time_cnt+32'd1; end end reg[7:0] seg_get_data; always@(posedge clk) begin if(num_cnt==8'd0) begin seg_get_data<=8'b1100_0000; end else if(num_cnt==8'd1) begin seg_get_data<=8'b1111_1001; end else if(num_cnt==8'd2) begin seg_get_data<=8'b1010_0100; end else if(num_cnt==8'd3) begin seg_get_data<=8'b1011_0000; end else if(num_cnt==8'd4) begin seg_get_data<=8'b1001_1001; end else if(num_cnt==8'd5) begin seg_get_data<=8'b1001_0010; end else if(num_cnt==8'd6) begin seg_get_data<=8'b1000_0010; end else if(num_cnt==8'd7) begin seg_get_data<=8'b1111_1000; end else if(num_cnt==8'd8) begin seg_get_data<=8'b1000_0000; end else if(num_cnt==8'd9) begin seg_get_data<=8'b1001_0000; end end assign seg_data=seg_get_data; endmodule 上述代码只能实现一位十进制的数字时钟,参考以上代码要求根据cyclone IV E 的FPGA实验板功能,设计四位数码管显示的数字时钟;要求:数字时钟能够准确计时并显示;开机显示00;具备控制功能按键有3个:清零、暂停、计时开始。数码管片四个选接口:DIG1,DIG2,DIG3,DIG4,数码管八个段选接口:SEG0,SEG1,SEG2,SEG3,SEG4,SEG5,SEG6,SEG7,给出Verilog代码

module Top( input sysclk, input rst_n, input [15:0] number, output reg [3:0] DIG, output [7:0] SEG ); parameter TIME_1ms=125_000; reg [16:0] cnt; reg [4:0] cur_state,next_state; localparam IDLE = 5'b00001; localparam LED1 = 5'b00010; localparam LED2 = 5'b00100; localparam LED3 = 5'b01000; localparam LED4 = 5'b10000; reg [3:0]num; wire [3:0]ge ; wire [3:0]shi ; wire [3:0]bai ; wire [3:0]qian ; assign ge = number%10; assign shi = number/10%10; assign bai = number/100%10; assign qian= number/1000; yima( .num (num), .seg (SEG) ); always@(posedge sysclk) if(!rst_n) cur_state <= IDLE; else cur_state <= next_state; always@(*) if(!rst_n) next_state = IDLE; else case(cur_state) IDLE :begin next_state = LED1; end LED1 :begin if(cnt == TIME_1ms - 1) next_state = LED2; else next_state = cur_state; end LED2 :begin if(cnt == TIME_1ms - 1) next_state = LED3; else next_state = cur_state; end LED3 :begin if(cnt == TIME_1ms - 1) next_state = LED4; else next_state = cur_state; end LED4 :begin if(cnt == TIME_1ms - 1) next_state = IDLE; else next_state = cur_state; end default:next_state = IDLE; endcase always@(posedge sysclk) if(!rst_n)begin num<=4'd0; DIG <=4'b1111; cnt <= 28'd0; end else case(cur_state) IDLE :begin num <= 4'd0; DIG <=4'b1111; cnt <= 28'd0; end LED1 :begin num <= ge; DIG <=4'b1110; if(cnt == TIME_1ms - 1) cnt <= 28'd0; else cnt <= cnt + 28'd1; end LED2 :begin num <= shi; DIG <=4'b1101; if(cnt == TIME_1ms - 1) cnt <= 28'd0; else cnt <= cnt + 28'd1; end LED3 :begin num <= bai; DIG <=4'b1011; if(cnt == TIME_1ms - 1) cnt <= 28'd0; else cnt <= cnt + 28'd1; end LED4 :begin num <= qian; DIG <=4'b0111; if(cnt == TIME_1ms - 1) cnt <= 28'd0; else cnt <= cnt + 28'd1; end default:begin num <= 4'd0; DIG <=4'b1111; cnt <= 28'd0; end endcase endmodule解释此代码

最新推荐

recommend-type

setuptools-33.1.1-py2.py3-none-any.whl

Node.js,简称Node,是一个开源且跨平台的JavaScript运行时环境,它允许在浏览器外运行JavaScript代码。Node.js于2009年由Ryan Dahl创立,旨在创建高性能的Web服务器和网络应用程序。它基于Google Chrome的V8 JavaScript引擎,可以在Windows、Linux、Unix、Mac OS X等操作系统上运行。 Node.js的特点之一是事件驱动和非阻塞I/O模型,这使得它非常适合处理大量并发连接,从而在构建实时应用程序如在线游戏、聊天应用以及实时通讯服务时表现卓越。此外,Node.js使用了模块化的架构,通过npm(Node package manager,Node包管理器),社区成员可以共享和复用代码,极大地促进了Node.js生态系统的发展和扩张。 Node.js不仅用于服务器端开发。随着技术的发展,它也被用于构建工具链、开发桌面应用程序、物联网设备等。Node.js能够处理文件系统、操作数据库、处理网络请求等,因此,开发者可以用JavaScript编写全栈应用程序,这一点大大提高了开发效率和便捷性。 在实践中,许多大型企业和组织已经采用Node.js作为其Web应用程序的开发平台,如Netflix、PayPal和Walmart等。它们利用Node.js提高了应用性能,简化了开发流程,并且能更快地响应市场需求。
recommend-type

超级简单的地图操作工具开发可疑应急,地图画点,画线,画区域,获取地图经纬度等

解压密码:10086007 参考:https://blog.csdn.net/qq_38567039/article/details/138872298?csdn_share_tail=%7B%22type%22%3A%22blog%22%2C%22rType%22%3A%22article%22%2C%22rId%22%3A%22138872298%22%2C%22source%22%3A%22qq_38567039%22%7D 获取地图经纬度等 超级简单的地图操作工具开发可疑应急,echars的地图画点,画线,画区域 <script type="text/javascript" src="echarts.min.js"></script> <!-- Uncomment this line if you want to use map--> <script type="text/javascript" src="china.js"></script> <script type="text/javascript" src="world.js"></script>
recommend-type

java进销存管理系统(jsp+mssql).zip

java进销存管理系统(jsp+mssql)
recommend-type

zigbee-cluster-library-specification

最新的zigbee-cluster-library-specification说明文档。
recommend-type

管理建模和仿真的文件

管理Boualem Benatallah引用此版本:布阿利姆·贝纳塔拉。管理建模和仿真。约瑟夫-傅立叶大学-格勒诺布尔第一大学,1996年。法语。NNT:电话:00345357HAL ID:电话:00345357https://theses.hal.science/tel-003453572008年12月9日提交HAL是一个多学科的开放存取档案馆,用于存放和传播科学研究论文,无论它们是否被公开。论文可以来自法国或国外的教学和研究机构,也可以来自公共或私人研究中心。L’archive ouverte pluridisciplinaire
recommend-type

实现实时数据湖架构:Kafka与Hive集成

![实现实时数据湖架构:Kafka与Hive集成](https://img-blog.csdnimg.cn/img_convert/10eb2e6972b3b6086286fc64c0b3ee41.jpeg) # 1. 实时数据湖架构概述** 实时数据湖是一种现代数据管理架构,它允许企业以低延迟的方式收集、存储和处理大量数据。与传统数据仓库不同,实时数据湖不依赖于预先定义的模式,而是采用灵活的架构,可以处理各种数据类型和格式。这种架构为企业提供了以下优势: - **实时洞察:**实时数据湖允许企业访问最新的数据,从而做出更明智的决策。 - **数据民主化:**实时数据湖使各种利益相关者都可
recommend-type

解释minorization-maximization (MM) algorithm,并给出matlab代码编写的例子

Minorization-maximization (MM) algorithm是一种常用的优化算法,用于求解非凸问题或含有约束的优化问题。该算法的基本思想是通过构造一个凸下界函数来逼近原问题,然后通过求解凸下界函数的最优解来逼近原问题的最优解。具体步骤如下: 1. 初始化参数 $\theta_0$,设 $k=0$; 2. 构造一个凸下界函数 $Q(\theta|\theta_k)$,使其满足 $Q(\theta_k|\theta_k)=f(\theta_k)$; 3. 求解 $Q(\theta|\theta_k)$ 的最优值 $\theta_{k+1}=\arg\min_\theta Q(
recommend-type

JSBSim Reference Manual

JSBSim参考手册,其中包含JSBSim简介,JSBSim配置文件xml的编写语法,编程手册以及一些应用实例等。其中有部分内容还没有写完,估计有生之年很难看到完整版了,但是内容还是很有参考价值的。
recommend-type

"互动学习:行动中的多样性与论文攻读经历"

多样性她- 事实上SCI NCES你的时间表ECOLEDO C Tora SC和NCESPOUR l’Ingén学习互动,互动学习以行动为中心的强化学习学会互动,互动学习,以行动为中心的强化学习计算机科学博士论文于2021年9月28日在Villeneuve d'Asq公开支持马修·瑟林评审团主席法布里斯·勒菲弗尔阿维尼翁大学教授论文指导奥利维尔·皮耶昆谷歌研究教授:智囊团论文联合主任菲利普·普雷教授,大学。里尔/CRISTAL/因里亚报告员奥利维耶·西格德索邦大学报告员卢多维奇·德诺耶教授,Facebook /索邦大学审查员越南圣迈IMT Atlantic高级讲师邀请弗洛里安·斯特鲁布博士,Deepmind对于那些及时看到自己错误的人...3谢谢你首先,我要感谢我的两位博士生导师Olivier和Philippe。奥利维尔,"站在巨人的肩膀上"这句话对你来说完全有意义了。从科学上讲,你知道在这篇论文的(许多)错误中,你是我可以依
recommend-type

实现实时监控告警系统:Kafka与Grafana整合

![实现实时监控告警系统:Kafka与Grafana整合](https://imgconvert.csdnimg.cn/aHR0cHM6Ly9tbWJpei5xcGljLmNuL21tYml6X2pwZy9BVldpY3ladXVDbEZpY1pLWmw2bUVaWXFUcEdLT1VDdkxRSmQxZXB5R1lxaWNlUjA2c0hFek5Qc3FyRktudFF1VDMxQVl3QTRXV2lhSWFRMEFRc0I1cW1ZOGcvNjQw?x-oss-process=image/format,png) # 1.1 Kafka集群架构 Kafka集群由多个称为代理的服务器组成,这