用verilog写一个自动售卖机
时间: 2023-10-26 21:04:44 浏览: 122
一个简单的自动售卖机可以实现以下功能:
1. 有一个选择货物的接口,可以使用按钮等方式选择货物。
2. 当用户选择货物并投入足够的金额时,售卖机会释放货物并找零。
3. 如果用户投入的金额不足,售卖机会拒绝交易并保持原状态。
下面是一个简单的Verilog自动售卖机的实现示例:
```verilog
module vending_machine(
input clk,
input rst,
input [3:0] selection, // 货物选择输入
input [7:0] money_in, // 投入金额输入
output reg [3:0] item_out, // 货物输出
output reg [3:0] change_out // 找零输出
);
// 货物价格表
parameter [3:0] ITEM_PRICE [7:0] = {
4'h0: 8, // 商品0价格为8元
4'h1: 10, // 商品1价格为10元
4'h2: 12, // 商品2价格为12元
4'h3: 5, // 商品3价格为5元
4'h4: 6, // 商品4价格为6元
4'h5: 15, // 商品5价格为15元
4'h6: 20, // 商品6价格为20元
4'h7: 3 // 商品7价格为3元
};
reg [7:0] money; // 当前余额
reg [3:0] item; // 当前选择的货物
reg [3:0] change; // 当前找零
// 状态机
parameter IDLE = 2'b00, SELECTED = 2'b01, VENDING = 2'b10;
reg [1:0] state = IDLE;
always@(posedge clk or posedge rst) begin
if(rst) begin
state <= IDLE;
money <= 0;
item <= 0;
change <= 0;
end else begin
case(state)
IDLE: begin
if(selection != 4'hF && ITEM_PRICE[selection] <= money_in) begin
item <= selection;
money <= money_in;
state <= SELECTED;
end
end
SELECTED: begin
if(money >= ITEM_PRICE[item]) begin
item_out <= item;
change <= money - ITEM_PRICE[item];
money <= 0;
state <= VENDING;
end else if(selection != 4'hF && ITEM_PRICE[selection] <= money + money_in) begin
item <= selection;
money <= money + money_in;
end
end
VENDING: begin
if(change > 0) begin
change_out <= change;
change <= 0;
item_out <= 0;
state <= IDLE;
end
end
endcase
end
end
endmodule
```
这个自动售卖机模块有四个输入和两个输出:
1. 时钟输入 `clk`。
2. 复位输入 `rst`。
3. 货物选择输入 `selection`,4位二进制数,其中F表示无效输入。
4. 投入金额输入 `money_in`,8位二进制数。
5. 货物输出 `item_out`,4位二进制数,表示售出的货物编号,F表示无货出售。
6. 找零输出 `change_out`,4位二进制数,表示找零金额,F表示无找零。
该模块使用状态机实现自动售卖机的状态转换。它有三个状态:
1. IDLE:空闲状态,等待货物选择和投币。
2. SELECTED:货物已选择状态,等待用户投入足够的金额或更换选择。
3. VENDING:售货中状态,等待售货完成并找零。
在每个状态下,模块会根据输入和当前状态进行相应的操作,并更新状态和输出。
阅读全文