正弦信号的抽样与重建的MATLAB代码是什么

时间: 2023-11-28 15:51:28 浏览: 31
以下是正弦信号的抽样与重建的MATLAB代码: ```matlab % 设置采样频率和信号频率 Fs = 1000; f = 20; % 生成正弦信号 t = 0:1/Fs:1; x = sin(2*pi*f*t); % 绘制原始信号的时域图像 subplot(2,1,1); plot(t,x); title('Original Signal'); xlabel('Time (s)'); ylabel('Amplitude'); % 设置采样率和采样点数 Fs_new = 200; N = round(Fs/Fs_new); % 抽样 x_sampled = x(1:N:end); % 绘制抽样后的时域图像 subplot(2,1,2); stem(x_sampled); title('Sampled Signal'); xlabel('Sample Number'); ylabel('Amplitude'); % 重建 x_reconstructed = zeros(size(x)); x_reconstructed(1:N:end) = x_sampled; x_reconstructed = conv(x_reconstructed, ones(1,N)/N, 'same'); % 绘制重建后的时域图像 figure; subplot(2,1,1); plot(t,x_reconstructed); title('Reconstructed Signal'); xlabel('Time (s)'); ylabel('Amplitude'); % 绘制重建后的频域图像 subplot(2,1,2); f_axis = linspace(-Fs/2, Fs/2, length(x_reconstructed)); X = fftshift(fft(x_reconstructed)); plot(f_axis, abs(X)); title('Frequency Spectrum'); xlabel('Frequency (Hz)'); ylabel('Magnitude'); ``` 这段代码首先生成一个频率为20Hz的正弦信号,然后以1000Hz的采样率对其进行采样,并以200Hz的采样率对其进行抽样和重建。最终绘制出原始信号、抽样后的信号、重建后的信号以及重建后的信号的频谱图像。

相关推荐

最新推荐

recommend-type

基于FPGA+DDS的正弦信号发生器的设计

可编程的FPGA器件具有内部资源丰富、处理速度快、可在系统内编程并有强大的EDA设计软件支持等特点。因此,基于FPGA的设计相对于专用DDS芯片,可使电路设计更加灵活、提高系统的可靠性、缩短设计周期、降低成本。...
recommend-type

基于FPGA和DDS技术的正弦信号发生器设计

对于正弦信号发生器的设计,可以采用DDS,即直接数字频率合成方案实现。DDS的输出频率是数字可调的,完全能实现频率为1 kHz~10 MHz之间的正弦信号,这是实际应用中产生可调频率正弦信号波形较为理想的方案。实现DDS...
recommend-type

Python实现正弦信号的时域波形和频谱图示例【基于matplotlib】

主要介绍了Python实现正弦信号的时域波形和频谱图,涉及Python数学运算与图形绘制相关操作技巧,需要的朋友可以参考下
recommend-type

matlab正弦信号PCM编码译码

%给一个正弦信号,信号输入范围为[-10,10] subplot(2,1,1); plot(t,Signal_m); title('输入正弦信号'); grid; %========================= %>>>>>>>>>>>>>>PCM编码 %========================== %------随机的产生...
recommend-type

信号与系统及MATLAB教程

例1-2 正弦信号 正弦信号在MATLAB中用 sin 函数表示。 调用格式为 ft=A*sin(w*t+phi) A=1; w=2*pi; phi=pi/6; t=0:0.01:8; %定义时间点 ft=A*sin(w*t+phi); %计算这些点的函数值 plot(t,ft); %画图命令 grid on; %在...
recommend-type

zigbee-cluster-library-specification

最新的zigbee-cluster-library-specification说明文档。
recommend-type

管理建模和仿真的文件

管理Boualem Benatallah引用此版本:布阿利姆·贝纳塔拉。管理建模和仿真。约瑟夫-傅立叶大学-格勒诺布尔第一大学,1996年。法语。NNT:电话:00345357HAL ID:电话:00345357https://theses.hal.science/tel-003453572008年12月9日提交HAL是一个多学科的开放存取档案馆,用于存放和传播科学研究论文,无论它们是否被公开。论文可以来自法国或国外的教学和研究机构,也可以来自公共或私人研究中心。L’archive ouverte pluridisciplinaire
recommend-type

MATLAB柱状图在信号处理中的应用:可视化信号特征和频谱分析

![matlab画柱状图](https://img-blog.csdnimg.cn/3f32348f1c9c4481a6f5931993732f97.png) # 1. MATLAB柱状图概述** MATLAB柱状图是一种图形化工具,用于可视化数据中不同类别或组的分布情况。它通过绘制垂直条形来表示每个类别或组中的数据值。柱状图在信号处理中广泛用于可视化信号特征和进行频谱分析。 柱状图的优点在于其简单易懂,能够直观地展示数据分布。在信号处理中,柱状图可以帮助工程师识别信号中的模式、趋势和异常情况,从而为信号分析和处理提供有价值的见解。 # 2. 柱状图在信号处理中的应用 柱状图在信号处理
recommend-type

用Spring boot和vue写一个登录注册界面

好的,这是一个比较常见的技术问题,我可以为您提供一些参考资料和思路。首先,您可以使用Spring Boot作为后端框架来处理用户认证和注册的逻辑,比如使用Spring Security实现用户登录认证。同时,您还需要设计相应的数据模型和数据库表结构来存储用户信息。在前端方面,您可以使用Vue.js作为框架来构建登录注册页面,使用Axios来发起API请求并和后端进行交互。当然,在实现过程中,还需要考虑一些具体细节,比如数据校验、安全性和用户体验等方面。希望这些信息能够帮助到您。
recommend-type

JSBSim Reference Manual

JSBSim参考手册,其中包含JSBSim简介,JSBSim配置文件xml的编写语法,编程手册以及一些应用实例等。其中有部分内容还没有写完,估计有生之年很难看到完整版了,但是内容还是很有参考价值的。